如何在vivado中打包自己的IP核并调用

编写好源代码并做必要的仿真

为了打包自己的IP核,先新建一个工程,添加 .v 文件编写verilog代码。如下图所示
在这里插入图片描述
写好源代码之后可先仿真验证波形是否达到预期。在Simulation Sources中添加自己的仿真文件,这里命名为test
在这里插入图片描述
运行后得到波形图如下
在这里插入图片描述

将功能完整的源码打包封装成IP核

接下来将源代码实现的模块打包成IP核
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
到此,创建的IP核只是一个没有具体逻辑的,基于AXI IP核模板创建的核,我们还需要将上述源代码 .V 文件与此IP核关联
在这里插入图片描述
名字随便起一个,然后会打开一个工程
在这里插入图片描述
在这里插入图片描述

在顶层文件中添加端口:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在一下各步中出现感叹号均同意即可
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
将enable引脚与板子的按键控制端口连接,作测试用,使能端口可控制是否输出。
然后编译block、生成顶层文件、绑定引脚等,后续操作与调用软件中的其他IP核方法相同。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值