基于FPGA的异步复位同步释放

1. 异步复位

一般让复位信号低电平有效,复位信号不受时钟的控制,只要复位信号有效,那么电路就会复位。对应的写法为:

always @ (posedge clk or negedge rst_n) begin
    if (!rst_n)
        xxxx;
    else 
        xxxx;
end

always块中,敏感量为两个,一个是时钟信号的上升沿,一个是复位信号的下降沿,当复位信号下降沿出现时,不论时钟信号在什么状态,都执行复位。

2. 同步复位

同步复位,即如果复位信号有效,则只能在时钟上升沿让电路复位。对应写法为:

always @ (posedge clk) begin
    if (!rst_n)
         xxxx;
    else 
        xxxx;
end

为了避免亚稳态,采用异步复位、同步释放的解决方法。
Verilog代码

module sys_rst(
	input rst_n,
	input clk,
	output reg rst_s1
);
	reg rst_s0;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			rst_s0 <= 1'b0;
			rst_s1 <= 1'b0;
		end
		else begin
			rst_s0 <= 1'b1;
			rst_s1 <= rst_s0;
		end
	end
	
endmodule

testbench代码

`timescale 1ns/1ns
module sys_rst_tb;

	reg clk;
	reg rst_n;
	wire rst_s1;
	
	sys_rst sys_rst_inst(
		.rst_n		(rst_n	),
		.clk			(clk		),
		.rst_s1		(rst_s1	)
);

	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		rst_n = 0;
		#100;
		rst_n = 1;
		#50;
		rst_n =0;
		#70;
		rst_n = 1;
		#200;
		$stop;
	end

endmodule

仿真波形
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_44985628

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值