verilog刷题:valid ready握手无气泡

题目

牛客网刷题 31,数据累加输出
描述
实现串行输入数据累加输出,输入端输入8bit数据,每当模块接收到4个输入数据后,输出端输出4个接收到数据的累加结果。输入端和输出端与上下游的交互采用valid-ready双向握手机制。要求上下游均能满速传输时,数据传输无气泡,不能由于本模块的设计原因产生额外的性能损失。

电路的接口如下图所示。valid_a用来指示数据输入data_in的有效性,valid_b用来指示数据输出data_out的有效性;ready_a用来指示本模块是否准备好接收上游数据,ready_b表示下游是否准备好接收本模块的输出数据;clk是时钟信号;rst_n是异步复位信号。
在这里插入图片描述
输入描述:
input clk ,
input rst_n ,
input [7:0] data_in ,
input valid_a ,
input ready_b
输出描述:
output ready_a ,
output reg valid_b ,
output reg [9:0] data_out

比较纠结的是输出各个信号相互纠缠,关键是理解ready_a信号的产生;

`timescale 1ns/1ns

module valid_ready(
	input 				clk 		,   
	input 				rst_n		,
	input		[7:0]	data_in		,
	input				valid_a		,
 	output		 		ready_a		,

	input	 			ready_b		,
 	output	reg			valid_b		,
	output  reg [9:0] 	data_out
);

    reg[1:0] count;
    //count
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            count <= 2'd0;
        else if(valid_a && ready_a)
            count <= count+1;            //此处加1自动归0,如果接收5个数,需要给出判断条件
    
    //valid_b 
    //数据有效时1, 为1时检测到ready为1拉0,其它情况0
    always@(posedge clk or negedge rst_n)
    if(!rst_n)
        valid_b <= 1'b0;
    else if(valid_b == 1'b1 && ready_b)    //
        valid_b <= 1'b0;
    else if(valid_b == 1'b0 &&  count==3)    //
        valid_b <= 1'b1;
    
    //ready_a  无气泡体现在此,  组合逻辑判定是否 valid_b 和 !ready_b ,上级传输无气泡
    assign ready_a =  !(valid_b && !ready_b);   
    
    //data 
    //注意需要清累加和
    always@(posedge clk or negedge rst_n)
    if(!rst_n)
        data_out <= 10'd0;
    else if(valid_a && ready_a)
        if(count==0)
            data_out <= data_in;
        else
            data_out <= data_out+ data_in;
 
endmodule
  • 4
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Valid-Ready握手协议在Verilog设计中用于数据传输的同步,确保数据的有效性和可靠性。它通常用于两个模块之间的数据交换,并且在发送数据之前,接收方必须准备好接收数据。 下面是一个简单的Verilog代码示例,演示了Valid-Ready握手协议的基本原理: ```verilog module ValidReadyHandshake ( input wire clk, input wire reset, input wire data_valid, output wire data_ready, input wire [DATA_WIDTH-1:0] data_in, output wire [DATA_WIDTH-1:0] data_out ); // 内部状态定义 reg [DATA_WIDTH-1:0] internal_data; reg internal_valid; reg internal_ready; // 同步时钟 always @(posedge clk) begin if (reset) begin internal_ready <= 1'b0; internal_data <= {DATA_WIDTH{1'b0}}; internal_valid <= 1'b0; end else begin internal_ready <= data_ready; internal_data <= data_in; internal_valid <= data_valid; end end // 数据输出逻辑 assign data_out = internal_data; // Ready信号逻辑 assign data_ready = internal_ready && !internal_valid; endmodule ``` 在这个示例中,Valid-Ready握手协议的发送方将数据放入`data_in`端口,并设置`data_valid`信号为高电平。接收方通过`data_ready`信号表示它已经准备好接收数据。当接收方准备好时,它将`data_ready`信号设置为高电平,发送方将通过`data_ready`信号的状态来判断是否可以发送数据。 值得注意的是,`clk`和`reset`信号是必需的,用于同步时钟和复位。 这只是一个基本示例,你可以根据具体的设计需求对握手协议进行扩展和修改。希望这个示例对你有所帮助!如果你有更多问,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值