分频时钟与使能时钟

87 篇文章 36 订阅 ¥19.90 ¥99.00
本文介绍了在FPGA设计中如何实现时钟分频,特别是当缺乏PLL或DLL资源时,建议使用使能时钟进行设计。通过使能信号而非直接使用分频时钟,可以满足10Mhz输出需求,同时避免了不稳定因素。关注作者,了解更多FPGA相关问题。
摘要由CSDN通过智能技术生成

分频时钟与使能时钟

对于资源比较丰富的FPGA,一般都有内嵌的多个PLL或者DLL专门用于时钟管理,利用他们就可以很容易地达到多个时钟的设计,输出时钟能够配置成设计者期望的不同频率和相位差(相对于输入时钟),这样的时钟分频是稳定的。但是们对于某些无法使用PLL或则DLL资源的器件又该怎么办呢?推荐使用“使能时钟”进行设计,在“使能时钟”设计中只使用原有的时钟,让分频信号作为使能信号来用。

例如:设计需要得到一个50Mhz输入时钟的5分频信号即10Mhz

input clk;
input rst_n;
reg [2:0] cnt;
wire en;

//5分频 计数0~4
always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		cnt <= 3'd0;
	else if(cnt < 3'd4)
		cnt <= cnt + 1'b1;
	eles
		cnt <= 3'd0;
end

assign en = (cnt == 3'd4);//每5个时钟周期产生1个时钟周期高脉冲

//使用使能时钟
always@(posedge clk or negedge rst_n)
beign
	if(!rst_n) ......;
	else if(en).....;
end

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值