非整数倍数数据位宽转换8to12

非整数倍数数据位宽转换8to12

题目描述
实现数据位宽转换电路,实现8bit数据输入转换为12bit数据输出。其中,先到的数据应置于输出的高bit位。

电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。
在这里插入图片描述

`timescale 1ns/1ns

module width_8to12(
	input 				   clk 		,   
	input 			      rst_n		,
	input				      valid_in	,
	input	[7:0]			   data_in	,
 
 	output  reg			   valid_out,
	output  reg [11:0]   data_out
);
    
    reg [1:0] cnt;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                cnt <= 2'b0;
            else if(valid_in == 1'b1 && cnt == 2'd2)
                cnt <= 2'b0;
            else if(valid_in == 1'b1)
                cnt <= cnt + 1'b1;
            else
                cnt <= cnt;
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                valid_out <= 1'b0;
            else if(valid_in == 1'b1 && cnt == 2'd1)
                valid_out <= 1'b1;
            else if(valid_in == 1'b1 && cnt == 2'd2)
                valid_out <= 1'b1;
            else
                valid_out <= 1'b0;
        end
    
    reg[7:0] data_in_reg;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                data_in_reg <= 8'b0;
            else
                data_in_reg <= data_in;
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                data_out <= 12'b0;
            else if(valid_in == 1'b1 && cnt == 2'd1)
                data_out <= {data_in_reg,data_in[7:4]};
            else if(valid_in == 1'b1 && cnt == 2'd2)
                data_out <= {data_in_reg[3:0],data_in};
        end
endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值