基于断言的验证

基于断言的验证

断言的概念十分简单。只要在程序中声明,我们相作言某事情必定为真,若不为真,则在屏幕上打印错误信息即可。在 SystemVerilog语言中,断言可以用立即(immediate)和并发(concurrent)两种不同形式的断言来表示。立即断言十分简洁,可以用if、else等语句表示。而并发断言的功能十分强大,所以本节用大部分篇帽福讲解如何编写并发断言。

在 always过程块中可以把这个事实声明为立即断言(该立目即断言相当于一个always_comb过程块,但是为了避免概念混淆,我们只在可综合的RTL模块中使用always_comb)。

always @*
	assert(~(dispense&&ready))
	else $error("dispense and ready both lit!");

上面这个断言每当dispense或者ready信号变化时,就检查它们是否同时为1。但是最好在时钟的正跳变沿检测这个条件,因为我们检查的是一个同步系统。首先把这个条件编写为如下属性property:

property NotDispenseAndReady;
	@(posedge clock)(~(dispense && ready));
 endproperty

然后,作为并发断言的一部分,对这个属性进行测试,其代码如下:

assert property(NotDispenseAndReady);

可以把属性定义和断言声明合并在一起编写。但是常用的编程风格建议,为了属性的重复使用,最好将属性定义和断言声明分成两部分编写。

通常,并发断言

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值