FPGA学习verilog实现P = (A+B)*(C+D)*(E+F)

层次化设计

设计目标:实现P = (A+B)*(C+D)*(E+F)

框图

框图

代码

顶层代码TEST3_TOP

module TEST3_TOP (
    input wire [7:0]A,
    input wire [7:0]B,
    input wire [7:0]C,
    input wire [7:0]D,
    input wire [7:0]E,
    input wire [7:0]F,
    output wire [26:0]P
);

wire [8:0]add_result1;
wire [8:0]add_result2;
wire [8:0]add_result3;

ADD ADD_1(
    .A(A),
    .B(B),
    .add_result(add_result1)
);

ADD ADD_2(
    .A(C),
    .B(D),
    .add_result(add_result2)
);

ADD ADD_3(
    .A(E),
    .B(F),
    .add_result(add_result3)
);

MULT MULT_1(
    .M1(add_result1),
    .M2(add_result2),
    .M3(add_result3),
    .P(P)
);
    
endmodule

ADD代码

module ADD (
    input wire [7:0]A,
    input wire [7:0]B,

    output wire [8:0] add_result
);
    
assign add_result = A + B;

endmodule  

MULT代码

 module MULT (
    input wire [8:0]M1,
    input wire [8:0]M2,
    input wire [8:0]M3,
    output wire [26:0] P
);
    
assign P = M1 * M2 * M3;

endmodule 

tb文件

 `timescale 1ns/1ps

module TEST3_TOP_tb ();

reg [7:0]A;
reg [7:0]B;
reg [7:0]C;
reg [7:0]D;
reg [7:0]E;
reg [7:0]F;
wire [26:0]P;

initial begin
    A = 8'd1;
    B = 8'd1;
    C = 8'd1;
    D = 8'd1;
    E = 8'd1;
    F = 8'd1;
end

always #10 A = {$random} % 256;
always #10 B = {$random} % 256;
always #10 C = {$random} % 256;
always #10 D = {$random} % 256;
always #10 E = {$random} % 256;
always #10 F = {$random} % 256;

TEST3_TOP TEST3_TOP_1(
    .A(A),
    .B(B),
    .C(C),
    .D(D),
    .E(E),
    .F(F),
    .P(P)
);

endmodule

仿真结果

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值