牛客网verilog VL10 使用函数实现数据大小端转换

`timescale 1ns/1ns
module function_mod(
	input [3:0]a,
	input [3:0]b,
	
	output [3:0]c,
	output [3:0]d
);


convert ac(
.	data_a	(a),
.	data_b	(c)
);
convert bd(
.	data_a	(b),
.	data_b	(d)
);

endmodule



module convert(
	input [3:0]data_a,
	output reg [3:0]data_b
);
always@(*)begin
	data_b[0]<=data_a[3];
	data_b[1]<=data_a[2];
	data_b[2]<=data_a[1];
	data_b[3]<=data_a[0];
end

endmodule

//小端模式,低地址放低位(固定了的方向)

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值