SerDes interface参考设计_SERDES的简介(2)

本文介绍了SerDes的基本结构和类型,如并行时钟、8B10B编码、嵌入式时钟和位交错SerDes。重点讨论了8B10B编码SerDes,指出其在高速通信中的优势。同时,概述了国内外SerDes技术的研究现状,强调了产品集成化和高速化的趋势,并列举了国内高校在SerDes领域的研究成果。
摘要由CSDN通过智能技术生成

2   SERDES的简介

2.1   SERDES结构简介

SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,从而大大降低通信成本。这种点对点的通信技术可以提升信号的传输速度,并且降低通信成本。

SerDes 结构大致可以分为四类:

并行时钟SerDes:将并行宽总线串行化为多个差分信号对,传送与数据并联的时钟,容易实现。


8B/10B 编码SerDes:将每个数据字节映射到10bit代码,然后将其串行化为单一信号对。10位代码是这样定义的:为接收器时钟恢复提供足够的转换,并且保证直流平衡(即发送相等数量的‘1’和‘0’)。这些属性使8B/10BSerDes 能够在有损耗的互连和光纤传输中以

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值