ubuntu18.04安装VCS+verdi错误集锦

文件清单

‒vcs-mx_vL-2016.06
‒verdi_vL-2016.06-1
‒scl_v11.9 #此程序统⼀管理license等破解⽂件
‒SynopsysInstaller.rar #此程序⽤于安装以上3个程序
‒scl_keygen #此⽂件⽤于⽣成license⽂件

步骤

建立如图所示的空的文件夹
在这里插入图片描述
安装包在此
在这里插入图片描述用rar解压installer,并运行.run文件
在这里插入图片描述

sudo -s
chmod +x SynopsysInstaller_v3.3.run
sudo ./SynopsysInstaller_v3.3.run

运行完.run文件后,会生成如下图,得到setup.sh文件,并运行他
在这里插入图片描述
在这里插入图片描述
可能你会出现这样的情况,解决办法如下所示:

 sudo apt-get install csh

成功后,再次运行,可能会出现如下界面
在这里插入图片描述
这⾥切换为普通⽤⼾模式 Ctrl+D 即可退出
在这里插入图片描述
切换为普通用户后,再次运行即可成功。

然后依次安装scl vcs verdi

下面获取license
双击scl_keygen.exe
只要修改
HOST ID Deamon
HOST ID Feature
HOST Name

修改LICENSE文件

DAEMON snpslmd /usr/synopsys/scl_2016.12/linux64/bin/snpslmd
第 1 ⾏, asic-dev 为 Ubuntu 主机名, 000C29929C28
为 eth0 的 MAC 地址
第 2 ⾏,/usr/synopsys/scl_2016.12/ 为 scl 的安装路

将 Synopsys.dat ⽂件复制到 /
home/EDA/SynopsysInstaller/vcs/licence / 和 /
home/EDA/SynopsysInstaller/verdi/license / 两个⽂件夹中

环境配置:
vim ~/.bashrc


#dve
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/gui/dve/bin #改成自己vcs的安装路径
alias dve='dve -full64'
 
#VCS
export PATH=$PATH:/home/tony/synopsys/vcs_2016.06/bin     #改成自己vcs的安装路径
alias vcs='vcs -full64 -cpp g++-4.8 -cc gcc-4.8 -LDFLAGS -Wl,--no-as-needed' 
#vcs需要的固定的参数,注意系统要安装gcc-4.8和g++-4.8,这两个需要自己独立安装
 
#VERDI
export PATH=$PATH:/home/tony/synopsys/verdi_2016.06-1/bin #改成自己Verdi安装路径
export VCS_HOME=/home/tony/synopsys/vcs_2016.06
export VERDI_HOME=/home/tony/synopsys/verdi_2016.06-1
export NOVAS_HOME=/home/tony/synopsys/verdi_2016.06-1
alias verdi='verdi'
 
#LICENCE
export LM_LICENSE_FILE=27000@tony-pc        #改成自己的host name
alias lmg_vcs='lmgrd -c /home/tony/synopsys/vcs_2016.06/license/Synopsys.dat' #注意改路径
 
#scl
export PATH=$PATH:/home/tony/synopsys/scl_11.9/linux/bin  #改SCL安装路径
export VCS_ARCH_OVERRIDE=linux
source .bashrc
sudo apt-get install lsb-core

最后只需要运行环境变量 source一下,再lmg_vcs进行注册即可。很多blog都有讲,这里就不说了,主要说一下下面的错误解决办法。

错误1:verdi启动错误

有的同学在安装完毕后启动verdi后会出现如下错误:

verdi/platform/LINUXAMD64/bin/ Novas: error while loading shared libraries: libpng12.so.0: cannot open shared object file: No such file or directory

这个错误我也倒腾了好久,最终有效解决了这个问题:
下载 libpng12-0_1.2.54-1ubuntu1.1_amd64 到你的下载目录中,然后执行在终端执行这个文件即可

下载链接:https://download.csdn.net/download/ciscomonkey/12275070

执行命令:

sudo dpkg -i  libpng12-0_1.2.54-1ubuntu1.1_amd64

错误2 :启动vcs错误

lmg_vcs

1、若途中有关TCP port的报错,查询27000端口进程,直接kill 掉等待27000端口完全释放再重新lmg_vcs即可。
command:
sudo netstat -ap | grep 27000
kill -9 ******

2、Failed to open the TCP port number in the license问题:

在Terminal输入:lmdown

netstat -ap | grep 27000
kill -9 XXX(看到的占⽤端⼝的 ID 号)

然后会问y/n,输入y。等待一会儿就可以了。注意要等待一会儿

参考:http://bbs.eetop.cn/thread-307403-3-1.html

错误3 lmg_vcs错误

lmgrd can’t make directory /usr/tmp/.flexlm when running server on Ubuntu:

这个问题,可以参考这个链接http://www.myir-tech.com/faq_list.asp?id=521

输入输入如下代码:

sudo -i #切换到root权限
mkdir /usr/tmp/ #创建目录(Ubuntu下没有这个目录)
chmod 777 /usr/tmp/ #修改权限

错误4 启动dve报错,提示需要-mode64

开启:dev
dve -mode64
注: 我是通过dve -mode64 才能打开
在这里插入图片描述

错误5 启动VCS错误

Error-[VCS_COM_UNE] Cannot find VCS compiler
VCS compiler not found. Environment variable VCS_HOME
用vcs -help后,或者用vcs编译.v文件,发现并不能启动VCS,而且环境变量错误。

在这里插入图片描述

解决办法: vcs -full64 filename.v

错误6 执行vcs错误

在这里插入图片描述
Makefile:104: recipe for target ‘product_timestamp’ failed
将gcc版本降到4.8

apt-get install gcc-4.8
update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.8 80
update-alternatives --config gcc

最后执行的时候需要以cpp -gcc -4.8来执行

 vcs -full64 -cpp gcc-4.8 add.v fa.v add_tb.v  +v2k -debug_all 

但是也许安装了4.8仍然报错,我的pc是ubuntu18.04,建议安装为4.4版本,手动安装比较复杂,也许你还入了坑,导致gcc报错,想remove还不行,说什么依赖关系,建议你使用snaptics来删除依赖关系的软件包。在我的linux 实际操作一文中有讲解。然后彻底卸载gcc后,用下面的方法安装。直接安装4.4肯定是没有这个的,已经被删除了。

解决 APT 仓库没有 GCC 4.4.7 的问题, 方法如下:
sudo vim /etc/apt/sources.list
文件追加以下内容:

deb http://mirrors.ustc.edu.cn/ubuntu/ trusty main restricted universe multiverse
deb http://mirrors.ustc.edu.cn/ubuntu/ trusty-security main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-updates main restricted universe multiverse
deb https://mirrors.ustc.edu.cn/ubuntu/ trusty-backports main restricted universe multiverse

sudo apt update
更新源

安装必要的软件
sudo apt install software-properties-common apt-transport-https ca-certifi
sudo apt install csh vim python2.7 perl lsb-core make dos2unix libjpeg62 lib
sudo apt install gcc-4.4 g+±4.4 gcc-multilib gcc-4.4-multilib
sudo update-alternatives --install /usr/bin/gcc gcc /usr/bin/gcc-4.4 100
sudo update-alternatives --install /usr/bin/g++ g++ /usr/bin/g+±4.4 100
输入:
gcc -v
g++ -v
确保版本号为:4.4.7

执行成功
在这里插入图片描述

错误7 启动lmg_vcs错误

在这里插入图片描述
Note: Use +vcs+lic+wait ( or -licwait or -licqueue in Unified Use Model ) to queue for license

解决办法:
该错误原因是因我买了个移动硬盘,把虚拟机内容拷贝到了移动硬盘里面,但是我没想到虚拟机的硬件地址也变化了,所以license必须重新生成,不信你可以看看你的MAC地址。重新生成license就可以了。

错误8 ./simv gui错误

Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64; Mar 30 20:34 2020
$stop at time 100000 Scope: add_tb File: add_tb.v Line: 12
ucli%

在这里插入图片描述
该错误是因为进入了dve的命令模式ucli,并没有进入界面模式
是因为敲命令时,是-gui,不是gui

./simv -gui $

verdi启动错误

1、/bin/sh illegal option -h
输入如下命令:

sudo rm -f /bin/sh
sudo ln -s /bin/bash /bin/sh

2、/home/hdl/synopsys/verdi_2016.06-1/platform/LINUXAMD64/bin/Novas: error while loading shared libraries: libjpeg.so.62: cannot open shared object file: No such file or directory

sudo apt-get install libjpeg62-dev

全套EDA安装福利,即插即用

最后目前买了个三星的固态移动硬盘,体验效果很好,一点也不卡,将虚拟机文件放在固态硬盘里,虚拟机里面包含了DC Verdi等等,除了tmax以外其他常用的软件。只需要电脑装有虚拟机,打开即可。如有需要,可加我vx:18080812512 务必备注来意:IC虚拟机。20RMB

  • 12
    点赞
  • 111
    收藏
    觉得还不错? 一键收藏
  • 11
    评论
Ubuntu 18.04上安装UHD GNU Radio,您可以按照以下步骤进行操作: 1. 更新软件包列表和系统软件: - sudo apt update - sudo apt upgrade 2. 安装必要的依赖包: - sudo apt install build-essential cmake libboost-all-dev libgmp-dev swig python3-numpy python3-mako python3-sphinx python3-lxml doxygen libfftw3-dev libcomedi-dev libsdl1.2-dev python3-gi-cairo python3-pygccxml python3-pygraphviz python3-qt4 python3-qwt5-qt4 libqt4-opengl-dev python3-click python3-click-plugins python3-zmq python3-scipy python3-gi python3-gi-cairo gir1.2-gtk-3.0 3. 下载UHD源文件并进行编译安装: - 选择一个合适的文件目录,并进入该目录。 - 使用如下命令下载UHD源文件: - git clone --recursive https://gitee.com/chen_nanda/gnuradio.git - 切换到所需的版本: - cd gnuradio - sudo git checkout v3.7.13.4 - sudo git submodule update --init --recursive 4. 编译和安装UHD GNU Radio: - 创建build目录并进入该目录: - sudo mkdir build - cd build - 运行cmake进行配置: - sudo cmake ../ - 运行make进行编译: - sudo make - 运行make test进行测试(可选): - sudo make test - 运行make install进行安装: - sudo make install - 更新动态链接库: - sudo ldconfig 5. 查看GNU Radio版本信息: - gnuradio_config-info --version 6. 启动GNU Radio的GUI: - gnuradio-companion 7. 对USRP进行相关初始设置: - 根据您的具体需求和硬件配置,进行USRP的相关初始化设置。 以上是在Ubuntu 18.04上安装UHD GNU Radio的步骤。请根据您的需求和具体环境进行操作。
评论 11
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值