DDR3读写时序

DDR接口时序比较复杂,想要完全搞懂需要下一番功夫。如果使用DDR3控制器IP控制DDR3,只需要熟悉DDR3读写时序就可以轻松控制DDR读写,下面分别对DDR接口信号和DDR读写时序进行介绍。

DDR接口信号

DDR接口信号分为DDR对外接口信号、用户应用接口信号和读写控制信号。对外接口信号直接与DDR芯片连接,用户应用接口信号为DDR控制器IP输出信号,通过用户控制接口信号生成控制DDR读写控制信号。

DDR 对外接口信号

对外接口信号直接与DDR芯片连接。

	//ddr interface
    .ddr3_addr                      (ddr3_addr),   //ddr address	
    .ddr3_ba                        (ddr3_ba),     //ddr bank address
    .ddr3_cas_n                     (ddr3_cas_n),  //ddr column address strobe
    .ddr3_ck_n                      (ddr3_ck_n),   //ddr clock enable 
    .ddr3_ck_p                      (ddr3_ck_p),   //ddr positive clock	
    .ddr3_cke                       (ddr3_cke),    //ddr negative clock 
    .ddr3_ras_n                     (ddr3_ras_n),  //ddr row address strobe	
    .ddr3_we_n                      (ddr3_we_n),   //ddr write enable
    .ddr3_dq                        (ddr3_dq),     //ddr data	
    .ddr3_dqs_n                     (ddr3_dqs_n),  //ddr data positive clock	
    .ddr3_dqs_p                     (ddr3_dqs_p),  //ddr data negative clock	
    .ddr3_reset_n                   (ddr3_reset_n),//ddr reset
    .init_calib_complete            (init_calib_complete),//ddr init done   
    .ddr3_cs_n                      (ddr3_cs_n),   //ddr chip select		
    .ddr3_dm                        (ddr3_dm),     //ddr data enable
    .ddr3_odt                       (ddr3_odt)     //ddr On-Die Termination

DDR 用户应用接口信号

用户应用接口信号,还是相对复杂,通过将用户应用接口信号转换成读写控制信号后,直接控制DDR读写。

 .app_addr(app_addr),
  .app_cmd(app_cmd),
  .app_en(app_en),
  .app_wdf_data(app_wdf_data),
  .app_wdf_end(app_wdf_end),
  .app_wdf
  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
Xilinx FPGA平台DDR3设计保姆式教程(3)是关于使用MIG IP核及DDR读写时序的教程。 首先,MIG(Memory Interface Generator)是Xilinx提供的IP核,用于简化DDR3控制器接口的设计。在使用MIG IP核前,需要根据DDR3芯片的规格参数,使用MIG生成一个适用于特定器件的IP核文件。生成IP核文件后,可以将其添加到设计中。 使用MIG IP核时,需要正确设置IP核的参数,以满足设计需求。这些参数包括时钟频率、数据宽度、时序参数等。为了正确设置这些参数,可以参考DDR3芯片的数据手册和Xilinx提供的MIG IP核使用手册。 在DDR3读写时序中,有多个重要的信号需要注意。首先是时钟信号,它用于同步数据的传输。时钟信号的频率应根据DDR3规格进行设置,通常为DDR3规格中指定的频率(比如900MHz)的一半。然后是地址信号,它用于指定要读写的存储单元的位置。地址信号的宽度应根据DDR3规格进行设置,通常为规定的位数(比如13位)。接下来是控制信号,包括读使能信号和写使能信号,用于控制读写操作的进行。最后是数据信号,用于实际的数据传输。数据信号的宽度应根据DDR3规格和设计需求进行设置。 在设计中,需要根据DDR3芯片的时序要求,来设置合适的读写延迟和时序参数。这些参数可以通过MIG IP核的配置界面进行设置。此外,还需要根据DDR3芯片要求,使用适当的芯片选择信号和刷新操作,以确保DDR3的正常工作。 综上所述,使用Xilinx FPGA平台进行DDR3设计时,可以使用MIG IP核来简化接口设计,并根据DDR3规格和时序要求来设置相关参数。合理设置这些参数,可以保证DDR3的正常读写操作。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

硬码农二毛哥

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值