xpm_cdc_single、xpm_cdc_gray原语

xpm_cdc_single原语

采用多个寄存器构成寄存器同步链来进行单bit数据跨时钟域处理。
//使用时注意 :为保证脉冲漏采,Xilinx规定至少2拍寄存,因此DEST_SYNC_FF范围是2-10

//DEST_SYNC_FF :设置目的时钟域的同步寄存器级数
//SRC_INPUT_REG :可设置0/1,1表示输入脉冲信号在源时钟域下添加一级寄存器
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

xpm_cdc_gray原语

采用格雷码方式对多bit数据跨时钟域处理

//WIDTH :待同步数据位宽

在这里插入图片描述
在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Fighting_FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值