systemverilog中$monitor使用

 

在SV中$monitor的使用格式与$display相同,语义上有所不同,$display是将双引号中的参数列表内容打印出来,而$monitor是在参数列表发生改变的时候,将参数列表内容打印出来。

如果同时使用两个$monitor函数,那么会发生冲突

module Mon;
    bit[7:0] addr;
    bit[7:0] data;
    
    initial begin
        for(int i=0;i<5;i++) begin
            #10ns;
            addr = i;
            data = i;
        end
    end
    initial begin
        $monitor("@ %0t : addr is %0h",$time,addr);
        $monitor("@ %0t : data is %0h",$time,data);
    end
endmodule

输出如下:只输出第二个$monitor的结果

这样的话,需要改写成:

initial begin
    $monitor("@ %0t :addr is %0h\udata is %0t",$time,addr,data);
end

在使用$monitor进行打印的时候,要加上-timescale=1ns/1n的编译选项,否则打印有问题,如下所示,

只输出了最后一个值,并且方针时间也有问题。

 

  • 5
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 7
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值