实验:触发器电路仿真与硬件实现


一、RS锁存器

1.由或非门组成的RS latch

在这里插入图片描述
(1)R=1,S=0时可得出Q=0,Q_ = 1,此时将R设置为低电平时,R=0,S=0可得出Q=0,Q_ = 1,电路保持原先状态。
在这里插入图片描述
(2)R=0,S=1时可得出Q=1,Q_ = 0,此时将S设置为低电平时,R=0,S=0可得出Q=1,Q_ = 0,电路保持原先状态。
在这里插入图片描述
(3)R=1,S=1时可得出Q=0,Q_ = 0,当R与S都置为低电平时,由于电路的延时性无法保证输出Q的唯一性,故此情况为非法输入。

依照上述结论可得出由或非门构成的RS锁存器的真值表:

RSQQ_
00QQ_
0110
1001
11xx

2.由与非门组成的RS latch

在这里插入图片描述

(1)S=1,R=0时可得出Q=0,Q_ = 1,此时将R设置为高电平时,S=1,R=1可得出Q=0,Q_ = 1,电路保持原先状态。
在这里插入图片描述
(2)S=0,R=1时可得出Q=1,Q_ = 0,此时将S设置为高电平时,S=1,R=1可得出Q=1,Q_ = 0,电路保持原先状态。
在这里插入图片描述
(3)R=0,S=0时可得出Q=1,Q_ = 1,当R与S都置为高电平时,由于电路的延时性无法保证输出Q的唯一性,故此情况为非法输入。

依照上述结论可得出由与非门构成的RS锁存器的真值表:

SRQQ_
11QQ_
0110
1001
00xx

3.RS锁存器波形图

在这里插入图片描述

二、D锁存器与D触发器

1.D锁存器

在RS锁存器的基础上添加一个使能信号Enable,实现只输入一个信号D即可实现锁存功能
在这里插入图片描述
(1)当使能信号EN为0时,R与S同时为0,电路处于锁存状态。
在这里插入图片描述
(2)当使能信号EN为1时,R=D_,S=D,此时只改变D的输入即可实现上述或非门RS锁存器的功能
在这里插入图片描述

从而得到D锁存器的真值表:

ENDQQ_
1001
1110
00QQ_
01QQ-

2.D触发器

D触发器添加了一个时间脉冲CP,使得在时间脉冲的上升沿的瞬间Q跟随输入D发生变化。
在这里插入图片描述
当CP=0时,G3,G4被封锁,D的变化无法传到G1,G2,触发器保持现状;
当CP=1时,G3,G4开放,D则经过G3,G4门转换成一对互补信号送到G1,G2。
当D=0时,R=0,S=1,Q=0。
当D=1时,R=1,S=0,Q=1。
在这里插入图片描述

3.维持阻塞D触发器

在这里插入图片描述
其作用为仅当CP的上升沿出现的一瞬间,D的数据才可以置入触发器中。

4.D锁存器与D触发器波形图

在这里插入图片描述
锁存器的波形原理为:当clk=1时,Q的波形跟随D的波形变化;当clk=0时,Q的波形保持上一时刻的波形不变。
触发器的波形原理为:只有当clk在上升沿时,Q的波形为D的瞬间电平并保持直到出现下一次clk上升沿。

三、总结

了解掌握RS锁存器,D锁存器,D触发器的基本工作原理,并掌握分析其波形的变化规律,将其基本特性用于电路实验当中。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值