verilog 组合逻辑的一些知识点,verilog赋值,判断语句更好的写法

当if, case条件不充分的时候,会产生锁存器,锁存器算时序逻辑器件

组合逻辑demo1

always @(*) begin
	a = in;
	b = a;
	c = b;
end

这里c=in,=相当于导线,直接连上去;

组合逻辑demo2

在这里插入图片描述
这句综合是这样的
在这里插入图片描述
d 延时一个时钟周期给q1,q1和q相当于组合逻辑

verilog比较好的写法

  1. 判断语句 ,我们一般是(a == 1),判断a是否等于1,比较好的写法是(1 == a);
  2. 赋值语句,比如说
b = a;
c  = b;

这种传递的,更好的写法是顺序换一下:

c = b;
b = a;
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值