HDLBits答案(24)_由波形图描述电路

Build a circuit from a simulation waveform

HDLBits链接


前言

今天更新HDLBits习题由波形图描述电路的部分,看图写代码。


题库

Combinational circuit 1

pic1.png

由图可见,q=a&b

Solution

module top_module (
    input a,
    input b,
    output q );//

    assign q = a & b; // Fix me

endmodule

Combinational circuit 2

pic2.png

由图列出卡诺图描述出来即可。

Solution

module top_module (
    input a,
    input b,
    input c,
    input d,
    output q );//

    assign q = ~a & ~b & ~c & ~d | ~a & ~b & c & d | ~a & b & ~c & d | ~a & b & c & ~d | a & ~b & ~c & d | a & ~b & c & ~d | a & b & ~c & ~d | a & b & c & d; // Fix me

endmodule

Combinational circuit 3

pic3.png

由波形图列出卡诺图化简可得。

Solution:

module top_module (
    input a,
    input b,
    input c,
    input d,
    output q );//

    assign q = b & d | b & c | a & d | a & c; // Fix me

endmodule

Combinational circuit 4

pic4.png

与上题同理

Solution

module top_module (
    input a,
    input b,
    input c,
    input d,
    output q );//

    assign q = b | c; // Fix me

endmodule

Combinational circuit 5

pic5.png

pic6.png

由波形图可见这是个多路选择器,通过c路信号进行信号选择;

Solution:

module top_module (
    input [3:0] a,
    input [3:0] b,
    input [3:0] c,
    input [3:0] d,
    input [3:0] e,
    output [3:0] q );

    always @(*) begin
        case(c)
            4'd0:	q <= b;
            4'd1:	q <= e;
            4'd2:	q <= a;
            4'd3:	q <= d;
            default:q <= 4'hf;
        endcase
    end
    
endmodule

Combinational circuit 6

pic7.png

Solution

module top_module (
    input [2:0] a,
    output [15:0] q ); 

    always @(*) begin
        case(a)
            3'd0:	q <= 16'h1232;
            3'd1:	q <= 16'haee0;
            3'd2:	q <= 16'h27d4;
            3'd3:	q <= 16'h5a0e;
            3'd4:	q <= 16'h2066;
            3'd5:	q <= 16'h64ce;
            3'd6:	q <= 16'hc526;
            default:q <= 16'h2f19;
        endcase
    end
    
endmodule

Sequential circuit 7

pic8.png

Solution

module top_module (
    input clk,
    input a,
    output q );

    always @(posedge clk) begin
        q <= ~a;
    end
    
endmodule

Sequential circuit 8

pic9.png

由图可见,p为a在clock为高电平时的选通信号,q为clock下降沿触发的信号,存放p的值。

Solution:

module top_module (
    input clock,
    input a,
    output p,
    output q );

    reg clk_0;
    assign p = clock ? a : p;
    
    always @(negedge clock) begin
        q <= p;
    end
    
endmodule

Sequential circuit 9

pic10.png

由图可见,q应当是一个从0-6的计数器,当a为高电平时,q保持为4,直到a为低电平时,再继续计数;

Solution

module top_module (
    input clk,
    input a,
    output [3:0] q );

    always @(posedge clk) begin
        if(a) begin
            q <= 4'd4;
        end
        else if(q == 4'd6) begin
            q <= 4'd0;
        end
        else begin
            q <= q + 4'd1;
        end
    end
    
endmodule

Sequential circuit 10

pic11.png

q为a,b和state的组合逻辑,列出真值表即可;

Solution

module top_module (
    input clk,
    input a,
    input b,
    output q,
    output state  );

    assign q = a ^ b ^ state;
    
    always @(posedge clk) begin
        if(a & b) begin
            state <= 1'b1;
        end
        else if(~a & ~b) begin
            state <= 1'b0;
        end
        else begin
            state <= state;
        end
    end
    
endmodule

结语

该小结就算更新结束了,胜利就在眼前,哈哈哈!本章的解法并不唯一,大家有什么其他思路欢迎在评论区讨论交流。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

日拱一卒_未来可期

若复习顺利望有闲钱的同学支持下

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值