输入序列不连续的序列检测

输入序列不连续的序列检测

题目描述
请编写一个序列检测模块,输入信号端口为data,表示数据有效的指示信号端口为data_valid。当data_valid信号为高时,表示此刻的输入信号data有效,参与序列检测;当data_valid为低时,data无效,抛弃该时刻的输入。当输入序列的有效信号满足0110时,拉高序列匹配信号match。

模块的接口信号图如下:
在这里插入图片描述
模块的时序图如下:
在这里插入图片描述

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input data,
	input data_valid,
	output reg match
	);

    reg [0:1]cnt;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                cnt <= 2'b0;
            else if(data_valid)
                cnt <= cnt + 1'b1;
            else
                cnt <= 2'b0;
        end
    reg [3:0] data_reg;
    always@(*)
        begin
            if(data_valid)
                begin
                    case(cnt)
                        2'd0:data_reg[3] = data;
                        2'd1:data_reg[2] = data;
                        2'd2:data_reg[1] = data;
                        2'd3:data_reg[0] = data;
                        default:data_reg = 4'b0;
                    endcase
                end
            else
                data_reg = 4'b0;
        end
  
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                match <= 1'b0;
            else if(data_reg == 4'b0110 && cnt == 2'd3)
                match <= 1'b1;
            else
                match <= 1'b0;
        end
endmodule
  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值