vivado调试经验,存在两个顶层文件

zynq芯片在vivado环境下,很方便。由于vivado软件自己的bug,也遇到一些问题。这个存在两个顶层文件就是其中一个。

在进行综合的时候不会报错误,等到生成bit的时候无法完成。

出现两个顶层文件如下面示意图:

这个示意图是已经修改好的,出现问题时,在design_1_wrapper这一级还会有另外一个v文件,造成多个顶层文件。

解决办法是,先建立arm硬盒的系统及外围设计,generate Output Product、creat HDL wrapper,然后再添加自己的逻辑程序模块到整个工程中,这样再重新进行creat HDL wrapper。这样就保证了如上图那样的结果。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值