FPGA学习笔记7--阻塞和非阻塞赋值仿真

阻塞赋值`
module zuse(c,b,a,clk);

 output c,b; 

 input clk,a; 

 reg c,b; 

 always @(posedge clk) 

      begin 

      b=a; 

      c=b; 

      end 

 endmodule 

仿真timescale 1ns/1ns
module tb_zuse();
reg clk;
reg a;
wire c;
wire b;
zuse u1(.a(a),.clk(clk),.b(b),.c©);
initial begin
clk<=1’b0;
a<=1’b0;
#50 a<=1’b1;
#150 $finish;
end
always # 5 clk<=~clk;
endmodule
在这里插入图片描述
非阻塞


     module nozuse(c,b,a,clk); 

     output c,b; 

     input clk,a; 

     reg c,b; 

     always @(posedge clk) 

          begin 

          b<=a; 

          c<=b; 

          end 

     endmodule 

`timescale 1ns/1ns
module tb_nozuse();
reg clk;
reg a;
wire c;
wire b;
nozuse u1(.a(a),.clk(clk),.b(b),.c(c));
initial begin
clk<=1'b0;
a<=1'b0;
#55 a<=1'b1;
#150 $finish;
end
always # 5 clk<=~clk;
endmodule

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值