SystemVerilog语言之静态变量和静态方法

静态变量

对于每一个对象,它们都有自己的局部变量,这些变量不和其他的对象相互共享。在SystemVerilog语言当中,我们可以在类中创建一个静态变量,该变量可以被这个类的所有对象所共享。

// 定义一个简单的带有静态变量的class
class pcie_transaction;
	static int object_count = 0;
	int object_id;

	function new();
		object_id = object_count++;
	endfunction
endclass

// 简单应用实例
pcie_transaction tr1, tr2, tr3;
initial begin
	tr1 = new();	// 创建第一个实例,此时object_id = 0,object_count = 1
	tr2 = new();	// 创建第二个实例,此时object_id = 1,object_count = 2
	tr3 = new();	// 创建第三个实例,此时object_id = 2,object_count = 3
	$display("The value of third object's  object_id and object_count is %0h and %0h", tr3.object_id, tr3.object_count);
end

注:静态变量通常是在声明的时候就实现初始化,不能在new()中做初始化。总之静态变量的初始化必须在创建第一个对象之前完成。

静态变量的访问

在SystemVerilog语言当中,我们可以通过句柄或者类名加上“::”的方式访问静态变量。

// 定义一个简单的带有静态变量的class
class pcie_transaction;
	static int object_count = 0;
	int object_id;

	function new();
		object_id = object_count++;
	endfunction
endclass

// 简单应用实例
pcie_transaction tr1, tr2, tr3;
initial begin
	tr1 = new();	// 创建第一个实例,此时object_id = 0,object_count = 1
	$display("The value of the first object_id and object_count is %0h and %0h", pcie_transaction::object_id, pcie_transaction::object_count);
end

说明:通过句柄访问静态变量请参考本文第一个例子。

静态方法

在SystemVerilog语言当中,我们可以在类中创建一个静态方法来读写我们定义的静态变量,具体如下:

// 定义一个用于配置的类
class pcie_configuration;
	......................
endclass

class pcie_transaction;
	static pcie_configuration cfg;
	static int pcie_count;

	static function void pcie_display();
		$display("pcie transaction cfg.data is %0h and pcie_count is %0h ", cfg.data, pcie_count);
	endfunction
endclass

// 测试部分实例
pcie_configuration dut_cfg;
initial begin
	dut_cfg = new();
	pcie_transaction::cfg = dut_cfg;
	pcie_transaction::pcie_display();	// 调用静态的 method
end

注:在SystemVerilog中不允许在静态方法中读写非静态变量!!!

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯芯之火,可以燎原

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值