SystemVerilog语言之数组的约束

数组的约束

约束数组的大小和总和

class transaction;
	rand bit [31:0] data[];

	constraint c_data{
		data.size() inside {[5:10]};
		data.sum() == 20;
	}
endclass

注:动态数组添加约束的时候一定要设置上限,否则会影响仿真的资源,导致随机求解器要用很长的时间才能求解。

约束数组的每一个元素

SystemVerilog可以用foreach语句对数组的每一个元素进行约束。

// 约束每一个元素
class transaction;
	rand bit [31:0] data[];

	constraint c_data {
		foreach(data[i])
			data[i] inside {[1:100]};
		data.sum < 1000;
		data.size() inside {[20:50]};
	}
endclass
// 产生一个递增的数组
class transaction;
	rand bit [31:0] data[];

	constraint c_data {
		foreach(data[i])
			if(i > 0)
				data[i] > data[i-1];
		data.size() inside {[10:20]};
	}
endclass

约束数组生成唯一元素数值

// 方法一
class transaction;
	rand bit [31:0] data[];

	constraint c_data {
		data.size() inside {[10:20]};
		foreach(data[i])
		 	foreach(data[j])
		 		if(i != j)
					data[i]  != data[j];			
	}
endclass
// 方法二:借用randc
class transaction;
	randc bit [31:0] data;
endclass

class generate_array;
	bit [31:0] array[100];

	function void pre_randomize;
		transaction tr;
		
		tr = new();
		foreach(array[i])begin
			tr.randomize();
			array[i] = tr.data;
		end
	endfunction
endclass

注:产生唯一元素数值的数组的方法有很多,以上仅作两种参考。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

芯芯之火,可以燎原

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值