基于FPGA的BCD码加法器设计Verilog代码Quartus仿真

名称:基于FPGA的BCD码加法器设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

BCD码加法器

采用DE2核心FPGA开发板,设计一个BCD码加法程序通过编程设计十进制求和电路,输入为两个2位BCD码加数A和B,低位进位端 cairy-in,,输出为两个加数的和(用三位BCD码表示)S2SlS0,注意处理和的最    大值:S2S1S0=99+99+1=199。

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

部分代码展示:

//BCD码加法器
module BCD_adder(
input [7:0]A_BCD,//2位BCD码加数A
input [7:0]B_BCD,//2位BCD码加数B
input carry_in,//进位端
output [6:0]seg_hun,//和百位数码管显示
output [6:0]seg_ten,//和十位数码管显示
output [6:0]seg_one//和个位数码管显示
);
wire [4:0]A_BCD_H;
wire [4:0]A_BCD_L;
assign A_BCD_H=A_BCD[7:4];//A十位
assign A_BCD_L=A_BCD[3:0];//A个位
wire [4:0]B_BCD_H;
wire [4:0]B_BCD_L;
assign B_BCD_H=B_BCD[7:4];//B十位
assign B_BCD_L=B_BCD[3:0];//B个位
reg [3:0]S0=4'd0;//和个位
reg [3:0]S1=4'd0;//和十位
reg [3:0]S2=4'd0;//和百位
reg cin_one=0;//个位进位
reg cin_ten=0;//十位进位
always@(*)
if((carry_in+A_BCD_L+B_BCD_L)>=5'd10)begin//判断个位相加是否有进位
S0<=(carry_in+A_BCD_L+B_BCD_L)-5'd10;//减10
cin_one<=1;//个位进位
end
else begin
S0<=(carry_in+A_BCD_L+B_BCD_L);//个位和
cin_one<=0;
end
源代码

 扫描文章末尾的公众号二维码

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个基于FPGA的数字计频器的Verilog代码,同时包含了Quartus II的仿真测试代码: ```verilog module freq_counter ( input clk, // 输入时钟 input reset, // 复位信号 input start, // 开始计数信号 output reg [31:0] freq_count // 输出计数器计数值 ); reg [31:0] count; // 内部计数器 always @(posedge clk) begin if (reset) begin count <= 0; freq_count <= 0; end else if (start) begin count <= count + 1; freq_count <= count; end end endmodule module freq_counter_test(); reg clk; reg reset; reg start; wire [31:0] freq_count; freq_counter counter( .clk(clk), .reset(reset), .start(start), .freq_count(freq_count) ); initial begin clk = 0; reset = 1; start = 0; #10 reset = 0; #100 start = 1; #1000 start = 0; #100000 $finish; end always #5 clk = ~clk; endmodule ``` 在这个例子中,我们定义了一个频率计数器模块`freq_counter`,它包含了一个时钟输入`clk`、一个复位信号`reset`、一个开始计数信号`start`和一个计数器计数值输出`freq_count`。在每个时钟上升沿时,如果复位信号为1,则计数器复位为0;如果开始计数信号为1,则计数器加1,并将计数器计数值赋值给输出端口。 我们还定义了一个测试模块`freq_counter_test`,它包含了一个时钟输入`clk`、一个复位信号`reset`、一个开始计数信号`start`和一个计数器计数值输出`freq_count`。在测试模块中,我们通过给时钟、复位和开始计数信号赋值的方式来测试计数器模块的功能。具体来说,我们在仿真开始时将复位信号置为1,经过一段时间后将其置为0,然后在一定时间后将开始计数信号置为1,经过一定时间后再将其置为0。最后,在一定时间后仿真结束。 在Quartus II中,我们可以通过仿真波形来验证计数器模块是否能够正确地计数。具体来说,我们可以打开波形编辑器,将测试模块的输入和输出端口添加到波形中,然后运行仿真。在仿真结果中,我们可以看到计数器模块的计数值是否与预期相符。如果相符,则说明计数器模块的功能是正确的。 希望这个Verilog代码Quartus II仿真测试代码对您有所帮助!

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值