通用vcs&verdi(2016)模板


all: vcs simu wave

vcs:
    vcs \
    -full64 \
    -sverilog \
    -lca \
    -cpp g++-4.8 -cc gcc-4.8 -CFLAGS -DVCS -LDFLAGS -Wl,-no-as-needed \
    -P /usr/synopsys/verdi_2016.06-1/share/PLI/VCS/LINUXAMD64/novas.tab \
    /usr/synopsys/verdi_2016.06-1/share/PLI/VCS/LINUXAMD64/pli.a \
    -l compile.log \
    -f lib_vcs.f \
    -f user_design.f \
    ../app/app.cpp ../app/phy_basic.cpp ../app/op_basic.cpp

simu:
    ./simv \
    +notimingcheck \
    +nospecify \
    –l sim.log \
    +vcs+finish+5ms

verdi:
    verdi \
    +v2k \
    -sverilog \
    -f user_design.f \
    -ssf tb.fsdb

wave:
    nWave -sswr signals.rc

clean :
    rm -rf .vscode
    rm -rf *.log
    rm -rf csrc nWaveLog
    rm -rf simv*
    rm -rf *.fsdb
    rm -rf *.key
    rm -rf verdiLog
    rm -rf novas.*

.PHONY: all vcs simu verdi wave clean

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值