Vivado开发不同阶段的结果分析

       Vivado工具是一个集成开发环境,包括综合和实现环境。我们可以根据工具给出的参数,来对我们的代码进行优化。

      一、 综合后我们一般可以通过查看综合下面的这三个选项对时序进行分析:

     (1)Report Timing Summary

在Unconstrained Path选项下面会给出未约束的时钟,可以在界面中直接对这些时钟进行约束。

 一般会在Inter-Clock Paths选项下面查看时序不满足条件的时钟,在代码中分析后进行下一步的约束。

     (2)Report Clock Network

     (3)Report Clock Interaction

 该图中出现时序不安全的时钟网络要进行处理。

  综合后处理完时序问题之后,在实现阶段一般不会有问题,保险起见,实现完成后再确认一下上面三个选项中的内容。

二、实现

实现后我们在观察一下时序问题,如果没有问题,则可以使用tcl语句,查看一下扇出情况。

​report_high_fanout_nets

对扇出比较大的信号,一般需要进行优化。

  • 对于复位信号的高扇出情况,建议添加bufg走时钟网络,在Vivado Tools—>Language Templates中可查找对应器件的例化方式。
  • 若高扇出信号为LUT,则建议修改为寄存器。
  • 对于高扇出寄存器,建议在代码变量声明处添加(*MAX_FANOUT=Value*)综合约束,也可在综合选项中指定fanout_limit(参见文章《乱花渐欲迷人眼—Vivado之Synthesis》)
vivado开发流程主要包括以下六个步骤: 1. 创建工程和添加源文件:在vivado中,首先需要创建一个工程,并添加所需的源文件,比如编写的Verilog代码等。 2. RTL描述与分析:在这一步骤中,对源文件进行RTL(Register Transfer Level)描述和分析,以确保设计的正确性和功能性。 3. 设计综合:在设计综合阶段,将RTL级的描述转换为门级的表示,生成电路的综合网表。 4. 添加设计约束:在这一步骤中,需要添加设计约束,以确保电路在特定条件下能够正常工作,如时钟频率、时序要求等。 5. 设计实现:在设计实现阶段,将综合后的电路网表映射到目标FPGA(Field Programmable Gate Array)芯片的物理资源上,并进行布局和布线。 6. 比特流文件生成与下载:最后一步是生成比特流文件,该文件将包含了已经实现的设计,并可以通过下载到目标FPGA芯片上进行验证和测试。 需要注意的是,以上是vivado开发流程的基本步骤,具体的操作可以在vivado的Flow Navigator窗口中进行,这个窗口提供了一整套的开发流程,可以方便地进行各项任务的操作和管理。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [vivado基本开发流程](https://blog.csdn.net/weixin_42750542/article/details/112853564)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado 开发流程(手把手教学实例)(FPGA)](https://blog.csdn.net/Gentleman_Qin/article/details/80016273)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值