generate for使用记录

generate for

当使用for循环的时候,即将电路复制很多次的时候,可以使用generate for语句。注意:这是物理上的展开,在RTL 代码编译时候就完成展开。
我在项目中需要用的将8个16bit数据拼接成一个 128bit数据。

1、一种方法是直接使用位拼接运算符

assign data_out[127:0] = {
   array[7],array[6] ... array[0]};

这样子肯定可以完成功能,但是如果需要很多数据拼接,我们不可能一直写下去。

2、所以这里采用generate for语句:

// An highlighted block
module generate_for (
    output     [8*16-1:0]    data_out
);

wire   [15:0
  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值