自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(192)
  • 资源 (29)
  • 收藏
  • 关注

原创 riacv特权模式切换

参考文档1:参考文档2:

2024-04-30 08:55:19 123

转载 RISC-V Physical Memory Protection

RISC-V 有一個機制叫 Physical Memory Protection(PMP), 他是用來保護實體記憶體的一種機制,原文中描述:留言這說明他是一種 optional mechanism 透過 program Machine Mode(M-mode) CSR 來達成的記憶體的保護(read, write, execute)。這裡只針對 Physical Memory 解釋,暫時忽略 virtual memory and paging 也就是第五點暫時不探討。

2024-04-16 11:00:09 48

原创 System Level Test – A Primer: White Paper

原文链接:As semiconductor geometries become smaller and greater complexity is pushed into chips or packages, System Level Test (SLT) is becoming essential.

2024-03-25 14:51:20 190

原创 riscv-debug

原文链接:https://www.francisz.cn/2020/03/07/riscv-debug/

2024-03-08 15:09:18 407

原创 verilog paramer array的定义和使用

报segmentation fault。在UVM验证环境中定义类。

2024-03-01 09:08:16 439

原创 RISC-V Bytes: Caller and Callee Saved Registers

/主要讲栈帧//主要讲栈帧。

2024-01-11 09:24:43 980

原创 开源IC设计工具

icarus iverilog下载地址:https://bleyer.org/icarus/verilator下载地址:https://github.com/verilator

2024-01-10 16:41:41 499

转载 Memory Testing: MBIST, BIRA & BISR | An Insight into Algorithms and Self Repair Mechanism

原文链接:https://www.einfochips.com/blog/memory-testing-an-insight-into-algorithms-and-self-repair-mechanism/Deep submicron devices contain a large number of memories which demands lower area and fast access time, hence, an automated test strategy for such des

2023-12-29 15:20:01 264

原创 ARM JTAG 调试原理

元都分布在芯片的边界上(周围),所以被称为边界扫描寄存器(Boundary-Scan Register Cell)。在 JTAG 调试当中,边界扫描(Boundary-Scan)是一个很重要的概念。芯片的输出管脚,也可以通过与之相连的边界扫描寄存器“捕获”(CAPTURE)该管脚上的。另外,芯片输入输出管脚上的边界扫描(移位)寄存器单元可以相互连接起来,当芯片处于调试状态的时候,这些边界扫描寄存器可以将芯片和外围的输入输出隔离开来。通过这些边界扫描寄存器单元,可以实现对芯片输入输出信号的观察和控制。

2023-12-15 16:31:22 201

转载 What does &> do in bash?

【代码】What does &> do in bash?

2023-12-12 16:40:01 73

转载 Perl select 函数

select 此函数将输出的默认文件句柄设置为FILEHANDLE,如果未指定文件句柄,则设置由print和write等函数使用的文件句柄。如果未指定FILEHANDLE,则它将返回当前默认文件句柄的名称。使用指定的位调用系统功能select()。select函数设置用于处理非阻塞I/O请求的控件。返回标量上下文中等待I/O的文件句柄数,或列表上下文中等待的文件句柄数和剩余时间。

2023-12-12 16:11:04 70

转载 perl $|=1; What is this?

【代码】perl $|=1;

2023-12-12 16:07:43 45

原创 What does \@array mean in Perl?

I have some Perl code where I noticed an array is used with a leading backslash like @arrayCan anybody explain what does it mean?the @ notation will return a reference (or pointer) to the array provided, so:$arrayref = @arraywill make $arrayref a referenc

2023-12-11 11:37:27 27

转载 Unique values in an array in Perl

【代码】Unique values in an array in Perl。

2023-12-11 11:24:36 35

转载 6 Perl File Handle Examples to Open, Read, and Write File

【代码】6 Perl File Handle Examples to Open, Read, and Write File。

2023-12-11 11:03:50 34

转载 How does double arrow (=>) operator work in Perl?

【代码】How does double arrow (=>) operator work in Perl?

2023-12-11 10:09:03 25

转载 Verilog delay modeling

【代码】Verilog delay modeling。

2023-12-08 14:40:58 41

转载 Delay Models in Verilog

【代码】Delay Models in Verilog。

2023-12-08 14:22:06 43

转载 verilog delay mode

【代码】verilog delay mode。

2023-12-08 14:17:23 79

转载 The $sdf_annotate system task

原文链接:The $sdf_annotate system taskSyntaxArguments“”Examples。

2023-12-08 09:40:46 55

转载 【仿真】后仿真中的notifier是奏啥滴!

可见,不管是否使用“+no_notifier”都不会影响违例信息的产生和报出,虽然使用“+no_notifier”可以避免标准单元输出不定态,但是这样就存在一个潜在的问题,因为标准单元此时输出为确定的状态,确定的状态一般也就不会影响后续电路的执行,从而如果忽略log文件将会很容易遗漏一些违例信息,为此从严谨的角度出发,除了仿真波形直观的反应以外,必须对于后仿真的log文件中的违例信息进行详细的筛查。Notifier在英文中的意思是通知人、通告人,在后仿真过程中他的作用就跟他的英文意思一样。

2023-12-08 09:19:25 348 1

原创 Inertial vs Transport Delays(惯性延迟vs传输延迟)

原文链接:VHDL can model two types of delay in a component:Transport delays are typically used to synchronize timing between VHDL processes and to model systems at high levels where inertial delay effects are ignored.

2023-12-07 09:08:30 134

原创 GetOpt::Long usage style

【代码】GetOpt::Long usage style。

2023-12-05 16:21:17 57

原创 Perl | Multi-line Strings | Here Document

【代码】Perl | Multi-line Strings | Here Document。

2023-12-05 16:20:09 674

转载 Makefile 中:= ?= += =的区别

之前一直纠结makefile中“=”和“:=”的区别到底有什么区别,因为给变量赋值时,两个符号都在使用。make会将整个makefile展开后,再决定变量的值。也就是说,变量的值将会是整个makefile中最后被指定的值。“:=”表示变量的值决定于它在makefile中的位置,而不是整个makefile展开后的最终值。在上例中,y的值将会是 foo bar ,而不是 xyz bar 了。在上例中,y的值将会是 xyz bar ,而不是 foo bar。从上面的结果中我们可以清楚的看到他们的区别了。

2023-11-14 16:54:05 48

原创 数字后端基础知识入门

原文链接:https://www.vlsi-backend-adventure.com/index.html

2023-10-09 19:58:21 95

原创 Computer Architecture Subtitle:Engineering And Technology

原文链接:https://www.cs.umd.edu/~meesh/411/CA-online/index.html

2023-10-09 19:55:25 118

原创 C Shell Scripting/Modifiers

【代码】C Shell Scripting/Modifiers。

2023-07-11 11:00:09 233

转载 SDC设计约束——IO延时约束

set_input_delay和set_output_delay的设置

2023-03-10 16:54:08 583 1

转载 【设计经验】5、Verilog对数据进行四舍五入(round)与饱和(saturation)截位

Verilog对数据进行四舍五入(round)与饱和(saturation)截位

2023-01-06 09:03:34 711

转载 ARM平台的虚拟化介绍

ARM平台的虚拟化介绍

2022-12-28 17:38:43 472

转载 Vim - Convert text to lowercase or uppercase

Vim - Convert text to lowercase or uppercase

2022-12-12 20:03:05 143

转载 “Failures“ in Functional Safety

"Failures" in Functional Safety

2022-12-12 11:21:15 111

原创 如何在父uvm_transaction中随机及例化子的uvm_transaction

如何在父uvm_transaction中随机及例化子的uvm_transaction

2022-12-09 15:42:37 597

转载 (深入理解计算机系统) bss段,data段、text段、堆(heap)和栈(stack)

(深入理解计算机系统) bss段,data段、text段、堆(heap)和栈(stack)

2022-12-06 09:21:06 305

原创 ISO-26262(2018)标准下载

iso-26262

2022-12-03 15:18:47 6553

转载 sed 匹配多个字符 “或”

sed 匹配多个字符 “或”

2022-12-01 15:21:39 408

转载 Random versus Systematic Faults: What’s the difference?

Random versus Systematic Faults: What’s the difference?

2022-12-01 09:47:32 95

原创 gcc生成shared library及可执行文件

c->shared library

2022-11-21 16:08:34 734

原创 参数化的interface array的例化及虚接口传递

参数化的interface array的例化及虚接口传递

2022-11-16 11:02:09 818

mastering_reactive_slaves.pdf

UVM slave agent example

2021-11-04

addressing_the_challenges_of_generically_specifying_power_intent

create_power_domain的-define_func_type, addressing_the_challenges_of_generically_specifying_power_intent_with_multi_rail_macros.pdf

2021-10-19

dvcon_eu_2016_fv_tutorial.pdf

Formal Verification: Too Good to Miss

2021-10-19

SvaFvTutorialHVC2013.pdf

SYSTEMVERILOG ASSERTIONS FOR FORMAL VERIFICATION

2021-10-19

SoC_Design_Verification.pdf

SoC设计验证

2021-10-19

SoC_Verification_Methodology.pdf

SoC验证方法学

2021-10-19

Failing_to_fail_Achieving_Success_in_Advanced_Low_Power_Design

基于UPF的低功耗验证

2021-10-19

Sleep_Transistor_Design_and_Implementation_Simple_Concepts

Optimum sleep transistor design and implementation are critical to a successful power-gating design. This paper describes a number of critical considerations for the sleep transistor design and implementation including header or footer switch selection, sleep transistor distribution choices and sleep transistor gate length, width and body bias optimization for area, leakage and efficiency

2021-10-17

Verification Methodology Manual for Low Power (VMM-LP) 2009.pdf

Verification Methodology Manual for Low Power (VMM-LP) 2009

2021-10-17

Low_power_Methodology_manual_for_system_on_chip_design.rar

Low_power_Methodology_manual_for_system_on_chip_design

2021-10-17

leakage-in-nanometer-cmos-technologies-2006.pdf

纳米CMOS管漏电流研究

2021-10-15

methodologies-for-power-gating.pdf

电源关断方法学

2021-10-15

layering-in-uvm_vh-v7-i3.pdf

UVM分层验证环境架构

2021-10-11

based_Verification_of_Bluetooth_Low_Energy_Controller.pdf

蓝牙低功耗UVM分层验证环境

2021-10-11

eetop.cn_数字集成电路低功耗物理实现技术与UPF.doc

UPF低功耗设计实现实例

2021-10-11

ieee-standard-for-design-and-verification-of-lowpower-integrated

低功耗集成电路的设计与验证

2021-10-09

90奈米混合臨界電壓標準元件庫設計及特性化

90奈米混合臨界電壓標準元件庫設計及特性化

2021-10-09

Liberty_User_Guides_and_Reference_Manual_Suite_Version_201706

synopsys liberty format

2021-10-08

ieee-standard-for-ipxact-standard-structure-for-packaging.pdf

IEEE-ipxact 寄存器标准

2021-10-08

UPF_for_Low_Power_2014.pdf

UPF Low power

2021-10-08

Low_Power_Verification_using_Power_State_Table_Coverage.pdf

基于PST覆盖率的低功耗验证

2021-10-08

形式验证(第七讲)

形式验证(第七讲),主要讲述了形式验证的基本概念和应用

2013-09-29

SystemC建模验证方法.PDF

SystemC建模验证方法,强烈推荐置顶~

2011-03-06

SystemC Verification Standard.pdf

SystemC Verification Standard.pdf

2011-03-06

基于字符串的高精度浮点计算实现

传统的浮点计算在精度要求较高时会精度不够,因此本程序采用字符型进行相关运算,精度可以设置

2009-10-09

卡尔曼滤波matlab仿真源程序

卡尔曼滤波matlab仿真源程序,经过测试好用的

2009-10-09

BCH编码译码C源程序

(15,11,4)BCH编码译码C源程序

2009-10-09

RS232串口驱动程序

RS232串口驱动程序,已经经过调试,请各位放心使用,希望对你有所帮助

2009-03-30

串口调试助手源码 串口调试助手源码,已经经过调试,可以收发数据,请放心使用

串口调试助手源码,已经经过调试,可以收发数据,请放心使用

2009-03-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除