Vivado 生成bit文件报错报错:[DRC UCIO-1] Unconstrained Logical Port

Vivado 生成bit文件报错:[DRC UCIO-1] Unconstrained Logical Port: 20 out of 28 logical ports have no user assigned specific location constraint (LOC).

解决方法1: 修改xdc文件,注释和锁脚语句不能出现在同一行

解决方法2: 包含有用户未使用的约束信号,这可能会导致I/O争用或与板电源或连接不兼容,从而影响性能、信号完整性,或者在极端情况下会损坏设备或其连接的组件,要允许使用未指定的管脚位置创建位流(不推荐),请使用以下命令:

set_property SEVERITY{Warning}[get_drc_checks UCIO-1]

注意:使用Vivado Runs基础结构(例如launch_Runs-Tcl命令)时,将此命令添加到.Tcl文件中,并将该文件添加为实现运行的write_bitstream步骤的预挂钩

  • 4
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值