双口RAM

87 篇文章 35 订阅 ¥19.90 ¥99.00
35 篇文章 1 订阅

双口RAM

IP配置
在这里插入图片描述
在这里插入图片描述
激励文件

`timescale 1ns / 1ps
`define clk_period 20
module dpram_tb( );
	reg clock;
	reg [7:0]data;
	reg [7:0]rdaddress;
	reg [7:0]wraddress;
	reg wren;
	
	wire [7:0]q;
	
	integer i;

dist_mem_gen_0 dist_mem_gen_0 (
  .a(data),        // input wire [7 : 0] a
  .d(rdaddress),        // input wire [7 : 0] d
  .dpra(wraddress),  // input wire [7 : 0] dpra
  .clk(clock),    // input wire clk
  .we(wren),      // input wire we
  .dpo(q)    // output wire [7 : 0] dpo
);

initial clock = 1;
	always#(`clk_period/2)clock = ~clock;
	
	initial begin
		data = 0;
		rdaddress = 30;
		wraddress = 0;
		wren = 0;
		#(`clk_period*20 +1 );
		for (i=0;i<=15;i=i+1
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值