Verilog 刷题-Edgecapture

在检测到输入信号in由1下降为0后,在时钟上升沿置数out为1,直到reset输入为1才将out置零。

用到上一题的边沿检测方式。

代码如下:

module top_module (
    input clk,
    input reset,
    input [31:0] in,
    output [31:0] out
);   reg [31:0]in_last;
    
    always@(posedge clk)begin
        in_last <= in;
        if(reset)out<=0;
        else begin
            for(int i = 0;i<32;i++)begin
                if(~in[i]&in_last[i])
                    out[i] <= 1;
            end
        end
    end
                

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值