牛客网verilog VL23 ROM的简单实现

该Verilog代码描述了一个简单的ROM模块,使用输入时钟(clk)和复位(rst_n)信号,以及地址和数据接口。ROM存储了8个3位宽的数据,地址范围0-7,每个地址对应一个二进制数。
摘要由CSDN通过智能技术生成
`timescale 1ns/1ns
module rom(
	input clk,
	input rst_n,
	input [7:0]addr,
	
	output [3:0]data
);

reg [3:0]rom[7:0];

	always@(negedge rst_n)begin
		rom[0]<=4'd0;
		rom[1]<=4'd2;
		rom[2]<=4'd4;
		rom[3]<=4'd6;
		rom[4]<=4'd8;
		rom[5]<=4'd10;
		rom[6]<=4'd12;
		rom[7]<=4'd14;
	end

	assign data=rom[addr];

endmodule
//注意位宽 位宽和进制没有关系都按二进制位宽

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值