Vivado 综合后工程

74 篇文章 26 订阅
12 篇文章 3 订阅

 Vivado支持多种类型(3种:RTL/post-synthesis/io-plan)工程,当创建Post-Synthesis (门级)工程时,需要注意:

 大概是5种类型的输入文件:1,struct verilog 2,edf 3,BD file 4,XCI 5,DCP

对于IP文件需要使用XCI文件作为输入,而不是dcp文件(虽然dcp文件包含设计约束,但却没有elf/coe这些ram的初始化文件),同时这个XCI并不是一个单纯的xci文件,需要设置OOC方式完成生成后,

vivado会自动搜索当前路径下的相关文件(保持文件夹的独立性,一个ip一个文件夹,不要混入其它文件),添加时只要把xci添加进来即可:比如:

 生成dcp的方式有很多:dcp本身是一个zip文件

1,打开网表,手动生成:

手动生成时一般有两种方式,一种是直接把需要的模块设置为顶层,综合后打开,直接输入:

write_checkpoint -file 1.dcp 【可以单独引用】

另一种是直接打开完整的工程,使用-cell参数,但是这个方式生成的dcp在使用时总是提示缺少文件:

 

2,综合完成后一般默认会在综合路径下自动生成一个dcp:【可以单独引用(该文件的size相对其它方式较大推荐优先使用)】

3,当将ip设置为ooc是也会默认在ip对应的文件夹自动生成一个dcp,但是单独引用这个

dcp是有问题的。(如前所述-如何引入ip到post_synthesis prj)

 

 -------------------------------------------------------------------------------------------

-mode out_of_context是否需要设置?

一般综合时会在port上自动插入ibuf/obuf:以xilinx ddr ip做黑核为例

xilinx ddr ip 实际在内部会用rtl例化各个port的buf,这样一来将设计网表和ip网表合到一起时看上去应该会有冲突(比如一个端口有两个ibuf):但实际并不是如此,vivado会自动处理这种情况:

 也就是说重复的ibuf/obuf不会对工程实现造成影响,vivado是允许这种情况的。因此在生成dcp时是否选择out_of_context有时候没有区别。

 对于ooc模式的网表也会自动插入io buffers.

目前看上去只适用于单端的ibuf/obuf,差分的一般要手动例化,如ibufds.

-----------------------------------------------------------------------------

 

参考:

Vivado Design Suite User Guide: System-Level Design Entry (UG895)

Vivado Design Suite Tcl Command Reference Guide (UG835)

Vivado Design Suite User Guide: Synthesis ( UG901 )

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
vivado综合日志文件是runme.log,它记录了Vivado在运行过程中的一些基本信息,对于设计分析非常有帮助。无论是综合还是实现,Vivado在运行过程中都会生成这个日志文件。文件位于相应的Design Runs目录下,例如,综合的Design Runs目录名为synth_1,那么runme.log就在这个目录下。通过查看runme.log文件,可以获取关于Vivado综合过程中的详细信息,包括综合失败时的错误提示。如果综合失败且从错误提示中无法找到原因,可以打开工程文件夹中的<project_name>.runs/synth_1或<project_name>.runs/XXX_synth_1(使用OOC综合时)文件夹,并查看runme.log文件和.vivado.error.rst文件(后者仅在综合失败时出现)。此外,如果在综合阶段使用了属性RETIMING_BACKWARD或RETIMING_FORWARD,runme.log文件中也会包含相应的信息。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [Vivado log文件包含什么信息(1)](https://blog.csdn.net/yundanfengqing_nuc/article/details/125043682)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado综合失败但没有报错, log中出现“系统找不到指定的路径](https://blog.csdn.net/sinat_29072079/article/details/113063471)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值