Vivado 时序分析Net Delay很高的解决办法

3 篇文章 0 订阅

某项目时序分析结果如下,显示Net Delay为10.728ns,导致时钟slack值为负。其中所有的时钟信号在图2中所示。根据违例的路径进行分析,这条路径实际上没有逻辑延迟,但是有一个巨大的网络延迟。可能是由以下几点引起的:信号传输中可能有太大的扇出,导致一些信号距离驱动源端太远;布线位置不合理-目标位置距离源位置太远;由保持时间违规造成。
在这里插入图片描述
在这里插入图片描述

如果在信号传递中存在跨时钟域路径,而这些路径又未经跨时钟处理(FIFO/RAM)等,时序分析工具将这些路径视为正常路径进行处理,这些信号往往具有很大的时钟偏移,从而导致保持时间无法满足,为了修复保持时间slack,工具将增加大量的额外路径,从而又导致其他路径的建立时间违规。应该根据具体失败的路径进行分析和解决。从图3中可以看出,Requirement时间太小,是跨时钟域信号的特性,应该首先解决跨时钟域问题。
在这里插入图片描述
在这里插入图片描述
解决办法为:添加timing exception约束,设置如下:

set_multicycle_path 3 -from [get_cell int10_reg] -to [get_cell int20_reg]

id=“ab439753”>set_multicycle_path 4 -to [get_cell int20_reg]

set_false_path -from [get_ports in6] -to [get_cell int20_reg]

set_false_path -to [get_ports out5]

set_false_path -to [get_cell int21_reg]

set_false_path -from [get_ports in6] -to [get_ports out6]

set_max_delay 5 -to [get_ports out6]

set_min_delay 3 -from [get_cells int10_reg] -to [get_cell int20_reg]

【研究FPGA/IC设计、开发、验证等技术,请关注公众号:阳光里安静地读书】

  • 3
    点赞
  • 50
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado时序分析是一种用于评估和优化FPGA设计中电路的时序约束和时序关系的工具。它可以帮助设计工程师发现和解决时序问题,提供最佳性能。 在使用Vivado时序分析级使用技巧中,以下几点值得注意: 1. 时序约束:时序约束是确保设计能够满足时钟频率和关键路径的重要因素。在Vivado中,用户可以使用SDF(Standard Delay Format)标准文件或XDC(Xilinx Design Constraints)文件来指定这些约束。在编写约束时,要确保准确性和完整性,并遵循合适的语法规则。 2. 数据路径优化:在设计中,数据路径通常是设计中最重要的部分,因为它涉及数据的传输和处理。在时序分析中,必须考虑数据路径的时序关系,包括时钟延迟和数据的传输延迟。通过合理地设置数据路径的约束,可以优化设计的性能,并提系统的工作速度。 3. 时序限制:时序限制是约束时序分析时必须考虑的因素之一。时序限制可以通过一些关键字和属性来定义,如不能组合路径、时钟频率限制等。通过正确设置时序限制,可确保设计在满足时序要求的情况下运行。 4. 时钟分析:在时序分析中,时钟是一个关键因素,对于时钟频率和时钟延迟必须有合理的设置。通过正确设置时钟分析约束,可以确保时钟信号的正确传输和同步。 总之,在Vivado时序分析级使用技巧中,时序约束、数据路径优化、时序限制以及时钟分析是需要重点关注的方面。合理设置这些约束,可以帮助设计工程师发现和解决可能存在的时序问题,并提设计的性能和稳定性。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

纯阳之道

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值