数字IC所用软件及IP分类


   先来回答一个在国内经常被问到的问题:为何大部分EDA工具都是使用Linux平台而不是普通的Windows平台?这其实很好理解,因为多数工程及科技软件原先都是在UNIX平台上首先开发和使用的。这些工具出现的时候还没有微软的Windows呢。一些工程和科技软件被移植到Windows.上去还是在上世纪九十年代末期和2000年以后的事。若读者在2000年之前或更早去米国研究型大学工学院中的计算中心和实验室访问或学习工作的话,见到的都是一排排的UNIX工作站,根本没有PC。虽然Windows在办公等日常工具上后来居上占据了主导地位,但像EDA工具这样的工程软件依然继续延续在UNIX及后来的Linux-平台上开发和应用,多数并未被移植到Windows平台上。因为UNIX及后来的Liux所具有的真正多用户分布式系统(微软Windows不是真正的多用户系统!)等特点特别适合工程及科技软件。而随着Liux系统逐步取代UNIX系统,EDA工具现在也基本上全面移植转移到了Linux平台上。例如Cadence的计算平台路线图的规划所示,除了原先收购进OrCAD还在使用Windows外,其他工具都已经移植转移到了Linux平台,而以前的UNIX平台如HPUX、Solaris、SunOS等现在都已经终止使用,BM的AIX平台也只在某些合同下继续开发某些特定EDA工具。从这里也可以为在校学习集成电路设计的学生们指明一条路,即若准备将来在集成电路行业中开拓职业事业的话,就必须熟练掌握Liux这个必备的系统工具。现实是Linux(Andoid)和UNIX(iOS)已经在移动设备上占据了主导地位,所以即便不准备在集成电路这个行业开辟职业事业,熟练掌握Liux也会在其他行业中取得职业事业的发展机会。—引用公众号Sl-list


数字后端设计中常用的工具主要由Cadence, Synopsys和Mentor三大公司推出。

  • 逻辑综合工具:Synopsys DC ,Cadence Genus

  • 可测性设计工具:Mentor系列DFT工具,Synopsys DFT Compiler

  • 布局布线工具:Cadence Innovus ,Synopsys ICC2

  • 静态时序分析工具:PrimeTime,Encounter Timing System

  • 功耗分析工具:RedHawk,PrimeRail,Encounter Power System

  • 形式化验证工具:Formality,Conformal

  • 寄生参数提取工具:QRC Extraction,Star-RCXT,Calibre xRC

  • 物理验证工具:Calibre,Hercules

Synopsys–新思科技

VCS-Verilog Compile Simulater

官网介绍网址如下

https://www.synopsys.com/verification/simulation/vcs.html#:~:text=The%20Synopsys%20VCS%C2%AE%20functional%20verification%20solution%20is%20the,industry%E2%80%99s%20highest%20performance%20simulation%20and%20constraint%20solver%20engines.

S家官网描述,世界排名前20的半导体公司大部分都使用VCS。

Verdi

官网介绍网址如下

https://www.synopsys.com/verification/debug/verdi.html

ICC/ICC2–布局布线工具

Starrc–寄生参数提取工具

DC/Synplify2015–逻辑综合

Design Compiler(DC)是Synopsys公司用于做电路综合的核心工具,可以将HDL描述的电路转换为基于工艺库的门级网表。

逻辑综合分为三个阶段:

  • 转译(Translation):把电路转换为EDA内部数据库,这个数据库跟工艺库是独立无关的;
  • 映射(Mapping):将门级网表映射到晶圆厂给定的工艺库上,最终形成该工艺库对应的门级网表。
  • 优化(Optimozation):根据工作频率、面积、功耗来对电路优化,来推断出满足设计指标要求的门级网表;

DC在综合过程中会把电路划分为以下处理对象:

  • Design:待综合的对象(module);
  • Port:Design最外部的端口;
  • Clock:时钟;
  • Cell:被例化的模块;
  • Reference:例化的原电路。

PT–PrimeTime–时序分析-STA

集成电路设计初期流程中,PT工具还没有发明之前,设计者使用动态仿真来验证整个设计或部分设计的功能和时序。基于动态仿真的方法既能够验证设计的功能,也能够验证设计的时序。随着设计尺寸和复杂性的增加,动态仿真所需时间及工作量都难以承受,在设计流程中成为一个严重的瓶颈,促使时序分析技术从动态向静态迁移。并由此诞生了静态时序分析工具,PT即是其中之一,其时序分析速度更快、占用内存少、和后端其他工具兼容性更好,可适用于大型设计中。

PTPX—功耗分析

Formality–逻辑等价性检查

等价性检查(equivalence checking):检查两个design是否等价,可以是RTL和RTL之间、RTL和netlist之间或者两个netlist之间,典型产品如Synopsys的formality和Cadence的Conformal LEC

milkyway

Milkway是对synopsys的Milkway数据格式进行管理的软件。对于后端而言,使用ICC做设计时,厂家会提供一个完整的标准单元库的Milkyway库,但是如果你的design里面有IP等,你可能只有一个lef或GDS文件,这时候就需要用Milkway软件把这些转化成ICC可以处理的Milkway库。Milkway相当于一个盒子,装了所有跟设计相关的数据,不管是工艺厂的,还是自己的设计数据。

Cadence–楷登电子

Genus–逻辑综合

cadence genus综合工具,前身是RC(RTL Compiler),现已改名

Innovus–布局布线

  • import design
  • floorplan
  • PRPR(power planning、power routing)
  • placement
  • CTS
  • opt timing
  • Routing
  • optdesign

Placement —>CTS (PreCTS)
CTS —> opt timing —> Routing (PostCTS)
Routing —> optDesign (PostRoute)

Tempus —STA

Voltus—功耗分析

Conformal LEC–逻辑等价性检查

IC617(模拟IC用)

mmsim(模拟IC用)

Menter(西门子旗下)

Calibre–物理验证(DRC、LVS)

物理验证工具Calibre DRC/LVS,Calibre提供了快速准确的设计规则检查(DRC)、电气规则(ERC)以及版图与原理图对照(LVS)功能。Calibre独到的 层次化架构以及多项行业领先的专利技术大大简化了复杂ASIC/SoC设计物理验证的难度。Calibre的核心专利算法兼顾平面式处理技术与层次化处理 技术相结合的结构特点。用户不需要针对芯片设计的类型来进行特殊设置。同时也可以根据直观、方便的物理验证结果浏览环境迅速而准确地定位错误位置,并且与 版图设计工具之间紧密集成实现交互式修改、验证和查错。Calibre的并行处理能力支持多CPU运算,能够显著缩短复杂设计验证的时间。与其相对应的工 具有Synopsys的Hercules和Cadence的Assura。

Tessent

Mentor 的 Tessent 软件是市场领先的 DFT 解决方案,能够帮助公司实现更高的测试质量、更低的测试成本和更快的良率提升。

ANSYS

RedHawk—功耗分析

IP

IP核模块有行为(Behavior)、结构(Structure)和物理(Physical)三级不同程度的设计

软核(Soft IP Core)

软核是给RTL代码,我们可以自己做后端设计,同时也可以根据前端功能的需求,去修改RTL代码,与工艺无关,软核不具有任何物理信息,因此客户可以用不同的工艺库进行综合应用在不同的工艺设计中。软IP是用Verilog/VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能

固核(Firm IP Core)

固核是综合后网表文件,一般是指在特定工艺下完成了综合网表的转化,与工艺强相关,不需要交付源码信息,可以有效的保护设计的知识产权,交付的固核也是可以做加密交付。

硬核(Hard IP Core)

硬核是经过验证之后的设计版图,就是在特定工艺下经过布局布线等优化处理,最终的出pin位置也已固定。设计人员不能对其进行修改,避免造成内部时序无法收敛,对外只有接口,内部结构是看不到的,与工艺强相关。硬核可以理解为做好后的后端的版图,我们拿不到RTL代码,硬IP提供设计的最终阶段产品-掩膜。硬核还可以理解为一个黑盒子问题,我们只知道其功能还有输入和输出的数据,内部逻辑我们是看不到的,后端所说的硬核其实就是一块版图,我们只能拿来用也无法进行修改。

  • 8
    点赞
  • 71
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值