uvm/sv print

本文详细介绍了UVM和SV中的打印函数-uvm_info与$display,展示了如何通过%m、%t等格式标识符控制输出层次和时间,以及%d、%h用于数值格式化。阅读者将学会如何高效地在测试环境中进行日志记录和调试。
摘要由CSDN通过智能技术生成

1、UVM打印
'uvm_info(“PRINT LOG”, $sformatf(“The cnt is %0d”, cnt), UVM_LOW) 常用类型。
print() 不返回数据,打印到终端。常用来打印item.print(),显示在终端和log中。
sprint() 返回字符串类型,不把class的内容打印出来,只是作为字符串返回。
2、SV打印
$display(“PRINT LOG: %m: at time %8t, data=0h%0h”, $time, data);
3、输出格式
%m:打印层次
%t:以当前时间格式输出
%s:以字符串格式输出
%d:以十进制输出
%h:以十六进制输出
%p:输出数组
%x:以十六进制输出,兼容C

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值