Cadence的启动路径

本文详细介绍了Cadence在Linux系统中的启动路径和环境配置,重点讲解了cds.lib、.cdsinit和.cdsenv文件的作用及创建方法。cds.lib用于管理设计库,.cdsinit设置初始化信息,而.cdsenv则包含工具的初始化设置。通过配置这些文件,可以优化Cadence的使用体验。
摘要由CSDN通过智能技术生成

进入Linux系统之后,可以根据需求创建工作目录,创建一个工作目录的目的是可以使设计文件整洁,随着对软件使用的深入,大家会发现每次创建工程,软件都会自动生成相关文件夹,所以在工作目录内操作可以保证即使工程项目再多,工程文件也不至于很乱。

环境配置

Cadence软件的使用涉及到一部分文件配置问题,首先需要注意以下三个文件:
Cadence软件在启动时首先会在启动目录搜索.cdsinit和.cdsenv文件,如果启动目录没有这些文件就会在用户主目录搜索以上文件,最后会在软件安装目录下搜索以上文件,所以为了更好的使用软件最好在Cadence第一次启动前准备好以上文件。

1)cds.lib文件:

该文件是设计库管理文件,包含一些基础设计库定义和用户自定义工程库。可以在工作目录新建cds.lib文件并输入:

SOFTINCLUD <install_dir>/share/cdssetup/cds.lib

2).cdsinit文件:

包含Cadence的一些初始化设置信息,以及软件的快捷键设置,部分功能和.cdsenv文件重叠。
可以从以下路径:<install_dir>/tools/dfII/samples/local/cdsinit,拷贝到自己的工作目录,并保存为.cdsinit文件。

3).cdsenv文件:

包含Cadence各种工具的初始化设置信息,部分功能和.cdsinit文件重叠。

启动文件

启动candence有两个十分关键的文件,一个是cds.lib另一个是.cdsinit。
在这里插入图片描述
如果使用ic51的时候,使用的是由ic61创建的cds.lib,可能会出错一般而言,在新的文件夹打开cadence,会自己创建cds.lib.如果没有创建,可以自己创建修改

cds.lib文件的定义

cds.lib文件:cds.lib是配置PDK的文件。include“PDK中cds.lib的绝对路径,可以包含其他的cds.lib,要使cds.lib起作用一定要在cds.lib所在的目录下运行icfb才行。”,该文件是设计库管理文件,包含一些基础设计库定义和用户自定义工程库。可以在工作目录新建文本文件并输入:SOFTINCLUDE /share/cdssetup/cds.lib,文件名保存为: cds.lib.

=========位于MPW(cds.lib)=======================================
# File Created by  at Fri May  5 22:15:39 2017
# assisted by CdsLibEditor
INCLUDE /EDA/cadence/IC616/share/cdssetup/cds.lib

#DEFINE tsmc18 /EDA/PDK/tsmc18pdk/tsmc18
#DEFINE tsmc18_digital /EDA/PDK/tsmc18pdk/tsmc18_digital

DEFINE GSMC_F018Q6D6 /EDA/PDK/GSMC_F018Q6D6_PDK/GSMC_F018Q6D6
DEFINE GSMC_digital_new /EDA/PDK/GSMC_F018Q6D6_PDK/GSMC_digital_new

#DEFINE tsmc35mm_digital /EDA/PDK/tsmc35mm_PDK/tsmc35mm_digital
#DEFINE tsmc35mm /EDA/PDK/tsmc35mm_PDK/tsmc035mm_3d3v_5v_v2d7a_PDK/tsmc35mm
==========位于安装目录下的cdssetup(cds.lib)=====================================
SOFTINCLUDE dfII/cds.lib
SOFTINCLUDE hdl/cds.lib
SOFTINCLUDE pic/cds.lib
SOFTINCLUDE sg/cds.lib


UNDEFINE analogLib /opt/IC617/tools.lnx86/dfII/etc/cdslib/artist/analogLib
DEFINE analogLib /opt/IC617/tools.lnx86/dfII/etc/cdslib/artist/lib_hspice/analogLib

Reference:Cadence Library Path Editor User Guide
The library path editor (cdsLibEditor) enables you to view and edit the information in a cds.lib library definition file.A cds.lib file is needed to point to the reference and design libraries you want to use in your design.
For more information about cds.lib refer to the Cadence Application Infrastructure User Guide.
DEFINE Weng_MPW ./Weng_MPW

Reference: Cadence Application Infrastructure User Guide在这里插入图片描述
To create a new cds.lib file, create an ASCII file named cds.lib in any directory that is listed in your setup.loc file, for example, $HOME.Note: The search order specified in the setup.loc file determines which cds.lib file will be used.为创建新的cds.lib需要该文件包括进setup.loc中。
在这里插入图片描述
在这里插入图片描述

cds.lib文件三种创建方法

只需要创建新的cds.lib就可以。
在这里插入图片描述
可见有三种方式。

创建方法1在这里插入图片描述

为了翻译Cadence各产品之间的,Cadence设计了一种name mapping.名称映射。在应用程序中创建合法名称的规则定义了所谓的名称空间。如下所示,Cadence应用程序之间的数据是可互操作的,因为Cadence将名称从一个名称空间映射到另一个名称空间。名称映射是一致的和可预测的。
在这里插入图片描述

创建方法2

创建方法3

在这里插入图片描述
位置:在virtuoso的安装目录下的share/cdsssetup/setup.loc中:" /opt/IC617/share/cdssetup
在这里插入图片描述

;
; Default setup search locations.
;
; This file is found in the first of the following locations
; that has a setup.loc or cdssetup/setup.loc file:
;
;	.
;	$CDS_WORKAREA
;	$CDS_SEARCHDIR
;	"~" (users home directory)
;	$CDS_PROJECT
;	$CDS_SITE
;	$(compute:THIS_TOOL_INST_ROOT)/share
; 
; Note that for this release we have introduced a new preferred syntax
; to represent a tool's installation root, $(compute:THIS_TOOL_INST_ROOT).
; This syntax is equivalent to the old $CDS_INST_DIR syntax but better
; reflects how the evaluation is done. The old $CDS_INST_DIR syntax is
; still supported in this release, however it may be deprecated in a
; future release. The behavior of $CDS_INST_DIR is unchanged. Please
; remember that $CDS_INST_DIR is not interpreted as an enviroment variable 
; and any such variable is ignored.
;
; The value of $(compute:THIS_TOOL_INST_ROOT) is equivalent to the value 
; of running 
;      cds_root <exe_name>
; (where exe_name is the name of the executable run when the file is read.)
;
; Feel free to make a copy of the default setup.loc file in
; $(compute:THIS_TOOL_INST_ROOT)/share/cdssetup/setup.loc to any of the other locations
; and add additional places to search (e.g. to setup up a site specific
; search list).
; 
; Format: one entry per line, everything after the first blank or
; tab is a comment, ';' or '#' or '--' at the start of a line is a comment.
; $envvar, ${envvar}, ~, and ~user are expanded as needed.
;
; If an environment variable is not defined, then that entry is ignored.
; No errors are generated.
;
.			cwd should always be searched first
@LIBRARY		look in the design libraries for the file
$CDS_WORKAREA		user workarea if defined
$CDS_SEARCHDIR		this is set by various tools during tool startup
$HOME			
$CDS_PROJECT		project storage area, ignored if not defined
$CDS_SITE		Site Setup Info - default is $CDS_INST_DIR/share/local
$(compute:THIS_TOOL_INST_ROOT)/share	Cadence Default Setup Info
;
; $CDS_INST_DIR/share 	Old entry for Cadence Default Setup Info

cdsinit文件:**.cdsinit是candence启动时配置启动模块的文件,包含Cadence的一些初始化设置信息,以及软件的快捷键设置,部分功能和.cdsenv文件重叠。可以从以下路径:/tools/dfII/samples/local/cdsinit, 拷贝到自己的工作目录,并保存为.cdsinit文件。
在初次安装完成时需要把/candence/ic5141/tools/dfII/samples/local文件夹复制到
/candence/ic5141/tools/dfII,然后把local文件夹中的cdsinit改为.cdsinit
使用.cdsinit文件加载其它软件:一般其它平台的软件都带有脚本供Cadence软件调用,比如需要在candence中嵌入calibre时只需在这个文件中加入相应的脚本即可。为了在Cadence刚启动时就加载软件环境,可以将软件调用脚本放在.cdsinit文件中,比如,调用Calibre接口:
load(“/lib/calibre.OA.skl”)
调用HSPICE接口,实现ADE界面调用HSPICE仿真器:
load(“/interface/HSPICE.ile”)
设置每次启动Cadence软件时自动打开Library Manager界面:
ddsOpenLibManager()
cdsenv文件:**包含Cadence各种工具的初始化设置,部分功能和.cdsinit文件重叠。可以从以下路径:/tools/dfII/samples/.cdsenv, 拷贝到自己的工作目录,并保存为.cdsenv文件
cdsenv文件内是Cadence环境的软件变量,通过对变量赋不同的值可以达到对软件进行不同设置的目的
设置仿真波形与坐标轴显示:相信很多同学在使用specre波形做报告时都会遇到截图前需要首先动手修改波形和背景颜色以及字体大小等,这样报告中才能够看得清,我们可以通过.cdsenv文件修改波形显示的默认设置。
viva.graph titleFont string “Default,14,-1,5,75,0,0,0,0,0” viva.rectGraph background string “white” viva.axis font string “Default,14,-1,5,75,0,0,0,0,0” viva.horizMarker font string “Default,14,-1,5,75,0,0,0,0,0” viva.vertMarker font string “Default,14,-1,5,75,0,0,0,0,0” viva.referenceLineMarker font string “Default,14,-1,5,75,0,0,0,0,0” viva.trace lineThickness string “Thick” viva.trace lineStyle string “solid”
设置仿真结果显示有效位数:在.cdsenv文件中通过搜索找到以下变量设置行,根据自己的需要在后面输入一个有效位数(整数),并重启软件:
auCore.misc labelDigits int 6
改变仿真结果保存路径:软件默认的仿真结果保存路径是在用户主目录下的simulation文件夹(~/simulation), 但是一般都是根据项目设置结果保存路径,为了避免每次仿真都手动更改路径,可以在.cdsenv文件中通过搜索找到以下变量设置行,根据自己的需要在后面输入路径,并重启软件:
asimenv.startup projectDir string" ./simulation"

• .bashrc文件
还有一个比较重要的文件就是home目录下的.bashrc 每次启动终端时系统会运行一次这个文件,一般这个文件里面会有相关软件需要的环境变量如果在使用中发现一些环境变量找不到就到这个文件下找吧。

/* 
   filepath:        <cds_install_dir>/samples/local/cdsinit
   dfII version:    4.4
  
   This file should be copied as <cds_install_dir>/local/.cdsinit
   and customized as a site startup file. The site startup file is
   read and executed when the Design Framework II software starts.

   This file can load all the application configuration files and
   application bind key files. 

   It also sets the library search path ( which may be overriden by the
   user customization file.

   Finally this file transfers control to the user by executing the
   user customization file.

   The user customization file may be

     ./.cdsinit - .cdsinit in the working directory
     ~/.cdsinit - .cdsinit in the user's home directory

   This site file checks if a .cdsinit exists in the working directory
   and executes it. If .cdsinit does not exist in the user's working
   directory then ~/.cdsinit is executed if it exists.


###################################################################
   Please read the entire file and the comments before you start
   customizing the file. See the section below on File Installation
   for a list of sample files supplied.

   There are bind key definition files supplied for different 
   applications. The relevant bind key definitions files must
   be loaded if you want bind keys defined for that application.
   See section LOAD APPLICATION BIND KEY DEFINITIONS.
###################################################################

   In order for any window placements to work correctly the following 
   X resource must be set in the .Xdefaults or .xresources file
   pertaining to your hardware platform.

	Mwm*clientAutoPlace:             False

   After setting the resource read in the resource file with the command

	xrdb <resource_filename>
   
   and restart the Motif window manager.

   The function 

             prependInstallPath("string")

   adds the installation path to the string argument
   For this reason there should NOT be a space at the beginning of the
   string.
   There SHOULD be a space at the end of the string if more paths are to
   follow.
   This function is used to make path specification in this file
   independant of the exact installation path.

   The function let() creates local variables ( example: libPath ). 
   This makes sure that any global variables are not accidentally modified.

*/
/*
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;							
;  File Installation			
;  -----------------	
;
;  CONFIGURATION FILES
;
;  The following configuration files are delivered in the 4.4 release:
;  <cds_install_dir>/samples/local
;          aaConfig.il                  - analog
;          dciConfig.il                 - Cadence to Synopsys Interface
;          metConfig.il                 - Designing with Composer
;                                         using metric measurements
;          sysConfig.il                 - systems
;          uiConfig.il                  - user interface
;
;  The configuration files are used to initialize parameters and
;  forms.
;
;  ENVIRONMENT VARIABLES
;  Schematic, Layout and Graphic environment variable defaults are now found in
;  <cds_install_dir>/etc/tools/
;				layout/.cdsenv
;				schematic/.cdsenv
;				graphic/.cdsenv
;
;  These can be customized in the user's ./cdsenv and ~/.cdsenv files.
;  A .cdsenv file can be created by using CIW->options->save defaults.
;
;
;  BIND KEY DEFINITION FILES
;
;  The following bind key definition files are delivered in the 
;  4.3 release:
;  <cds_install_dir>/samples/local
;          leBindKeys.il                - layout editor
;          schBindKeys.il               - schematic editor
;
;  CUSTOMIZATION FILES
;
;  The following customization files are delivered in the 
;  4.3 release:
;  <cds_install_dir>/samples/local/cdsinit          - site customization
;  <cds_install_dir>/cdsuser/.cdsinit               - user customization
;
;									;
;  ADMINISTRATION
;
;  The site administrator should install the "site" files as follows:	;
;  
;  1. Copy <cds_install_dir>/samples/local/cdsinit 
;       to <cds_install_dir>/local/.cdsinit
;     and modify the file
;     (If <cds_install_dir>/local does not exist create it)
;
;     <cds_install_dir>/local is the site customization directory.
;     This directory is not sent as part of the software. The site
;     administrator must create this directory. Whenever software is
;     upgraded the Cadence installation process retains the site
;     administration directory if it exists.
;
;
;  2. If default configuration needs to be changed copy the
;     relevant configuration file
;             from:  4.3/samples/local
;             to:    4.3/local
;     and modify the file(s)
;
;  3. If default bind key definitions need to be changed copy the
;     relevant bind key definition file
;             from:  4.3/samples/local
;             to:    4.3/local
;     and modify the file(s)
;
;  4. Copy 4.3/cdsuser/.cdsinit to the user's home or working
;     directory - Do this step only if the user does not already
;     have a .cdsinit file.
;
;     If after site customization each user wants to customize
;     portions of the configuration or bind keys definitions 
;     they should copy the relevant sections from the files in
;     
;                4.3/samples/local 
;     into
;
;                the user's customization file
;                ./.cdsinit   or    ~/.cdsinit
;
;
;  FILE LOADING ORDER							
;  ------------------							
;  1. The configuration files are not automatically loaded.
;     Remove the comment on the filename line to load the file.
;     The search order for the configuration files is:
;
;           .
;           ~
;           4.3/local
;     
;  2. The bind key definition files are not automatically loaded. 
;     Remove the comment on the filename line to load the file.
;     The search order for the bind key definition files is:
;
;           .
;           ~
;           4.3/local
;           4.3/samples/local
;									
;  3. Load user customization file
;  
;         ./.cdsinit - load .cdsinit in the working directory if it exists
;     else
;
;         ~/.cdsinit - load .cdsinit in the user's home directory
;     This file does NOT load both user customization files even if both exist.
;
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
*/
;
;
;################################################
;#                                           
;# LOAD APPLICATION CONFIGURATION FILES
;#
;################################################
;
;Remove the comment ; if you want to load the specific configuration file
;If you do not load the configuration files the applications will use the
;default configurations.
;
;
let( (configFileList file path saveSkillPath)
    configFileList = '(
;                 "aaConfig.il" 
;                 "dciConfig.il"
;                 "leConfig.il" 
;                 "metConfig.il"
;                 "schConfig.il" 
;                 "sysConfig.il" 
;                 "uiConfig.il" 
                    )
    
;   This is the path that is searched for the files
;
    path = strcat(
;
;   If you want to add another path add it here as a string
;
              ".  ~  "
              prependInstallPath("local ")
             )
    saveSkillPath=getSkillPath()
    setSkillPath(path)

    foreach(file configFileList 
       if(isFile(file) then
          loadi(file)
         )
    )
    setSkillPath(saveSkillPath)
)

;
;################################################
;#                                           
;# LOAD APPLICATION BIND KEY DEFINITIONS
;#
;################################################
;
;Add the comment ; if you do not want to load the specific
;bind key definition file.
;
;If you do not load the bind key definitions the applications will not
;have any bind keys defined.
;
;If you load the bind key definition file but the application is not
;registered ( product not licensed or checked out ) then you might get
;a warning that looks like
;
; *WARNING* "Schematics is not registered yet"
;
;This warning can be ignored if you know that the product is not
;licensed or checked out.
;
let( (bindKeyFileList file path saveSkillPath)
    bindKeyFileList = '(
                   "leBindKeys.il" 
                   "schBindKeys.il"
                    )
    
;   This is the path that is searched for the files
    path = strcat(
;
;   If you want to add another path add it here as a string
;
              ".  ~  "
              prependInstallPath("local ")
              prependInstallPath("samples/local")
             )
    saveSkillPath=getSkillPath()
    setSkillPath(path)

    foreach(file bindKeyFileList
       if(isFile(file ) then
          loadi(file)
         )
    )
    setSkillPath(saveSkillPath)
)

;  An individual user may wish to add some bindkeys of his/her own or
;  over ride some default loaded bindkeys.  For more information about
;  bindkeys see the manual "SKILL Reference Manual, Language Fundamentals", 
;  Chapter 4.
;
;  Here is an example of setting one bindkey on "F2" than prints 
;  "Hello world" to the CIW when pressed in the CIW.
;hiSetBindKey("Command Interpreter" "<Key>F2" "printf(\"Hello World\")") 
;
;  Here is an example of setting keys for more than one application
;let( (app appList)
; appList = '( 
;	"Command Interpreter"
;    "Schematics"
;    "Symbol"
;   
;    Add other applications here 
;
;    )
;
;
;  foreach(app appList
;    hiSetBindKey(app "<Key>F4" "printf(\"Hello \")") 
;    hiSetBindKey(app "<Key>F5" "printf(\"World\")") 
;  )
;)
;
;
;
;################################################
;#
;# SETTINGS FOR SKILL PATH and SKILL PROGRAMMING
;#
;################################################
;
;  The function sstatus() sets the status of variables
;  The variable writeProtect controls if a SKILL function can be
;  redefined or not;
;
;  Any functions defined after writeProtect = t CANNOT be redefined
;  Any functions defined after writeProtect = nil CAN be redefined
;  If you are going to create SKILL programs and define functions set the
;  status of writeProtect to nil at the beginning of your session.
;
;  Set skill search path. The SKILL search path contains directories
;  to be searched to locate SKILL programs when program names are
;  specified without full path names.
;  The operation could be reading, writing or loading a SKILL program.
;
;  Source technology files are considered SKILL files and when loading 
;  or dumping the technology file SKILL search path will be used.
;
;

sstatus(writeProtect nil)

let((skillPath)
   skillPath= strcat(
    ". ~ "                                          ; Current & home directory
    prependInstallPath("samples/techfile ")         ; sample source technology files
   )
   setSkillPath(skillPath)
)
;
;################################################
;#################################################
;  VERIFICATION - DIVA/INQUERY/DRACULA ENCAPS    #
;#################################################
;
; There are no configuration variables for these
; applications to be set in the .cdsinit. You may
; need to create a .simrc  file, using the example
; in <cds_install_dir>/cdsuser/.simrc
;
;#################################################
;# PLACE AND ROUTE - CELL3, CE, BE, PREVIEW,GE   #
;#################################################
; The geSetProbeNetStopLevel default is zero.
; To probe routing in channels, it must be >= 2.
; geSetProbeNetStopLevel(0)  ; 20 is a good number.
; 
;#################################################
;# LAS and COMPACTOR                             #
;#################################################
; There are no configuration variables for these
; applications to be set in the .cdsinit. You need
; to add information to your technology file. See
; the LAS and COMPACTOR reference manuals for
; details about technology file additions.
; 
;##############################################
;# Customizing the 4.x environment with:      #
;# SETTING AmPLD DEFAULTS (Data I/O Abel 4.x) #
;##############################################
; No Setup is required if using the default system shipped from Cadence.
; If you are using your own Abel or need to customize the system Please
; See Appendix A of the Programmable Logic Design System Users Guide.
;
;##############################################
;# Customizing the 4.x environment with:      #
;# DESIGN FLOWS                               #
;##############################################
; The design flows can be used with no customization, but customizing 
; them for your personal preference can greatly enhance your 
; productivity. Please See the Design Flow users guide for details.
;
;  Bring up top flow ...
;
;amdfTopFlow()
;
;
;################################################
;#
;# MISCELLANEOUS
;#
;################################################
;
; Set your own prompt in the CIW. The first argument is the prompt.
; The second argument is not used yet.
;
; The variable editor defines the text editor to be used when any of
; the applications invoke an editor. For example technology dump and 
; edit operation opens an editor window. 
;
; The editor may also be set by 
;               
;         unix environment variable EDITOR
;
;             setenv EDITOR 'xedit'
;
;
; When Design Framework is invoked the SKILL variable editor is set 
; to the value of the unix variable EDITOR.
;  
; If EDITOR is not defined the SKILL variable
; editor is set to "vi"
;
; You may also set the variable to execute a UNIX command
; that invokes an xterm window of given size and location
; and starts an editor program.
; Example:
;
;    editor = "xterm -geometry 80x40 -e emacs"
;
; Size of xterm in the above example is 80 characters by 40 lines.
; With some editors on certain platforms the variable editor must
; be defined as shown above.
;
; Some application which require a text editor may be using the UNIX
; variable EDITOR instead of the SKILL variable editor. It is a good
; idea to set the UNIX variable EDITOR to the text editor of your
; choice which will automatically set the SKILL variable editor.
; 
;
;setPrompts("Ready >" "")
;editor = "xterm -geometry 85x50 -e vi";
;
;
printf("END OF SITE CUSTOMIZATION\n")
;
;
;################################################
;#                                           
;# LOAD USER CUSTOMIZATION FILE 
;#
;################################################
;
;The site customization file is going to load the user
;customization file. In case you have copied this site
;customization file as your user customization file
;comment out or remove the next section to prevent
;recursive loading of ./.cdsinit
;
if( isFile( "./.cdsinit" ) then
    printf( "Loading ./.cdsinit init file from the site init file.\n" )
    loadi( "./.cdsinit" )
else
 if( isFile( "~/.cdsinit" ) then
    printf( "Loading $HOME/.cdsinit init file from the site init file.\n" )
    loadi( "~/.cdsinit" )
 )
)
;
;END OF THE SITE CUSTOMIZATION FILE

在这里插入图片描述
在安装路径中找到:/EDA/cadence/IC616/tools.lnx86/dfII/bin,Link to shell script (application/x-shellscript)
在这里插入图片描述

#!/bin/bash -e

############################################################################
# Cadence Design Systmes
#
# Master IC CAD flow including schematic capture, simulation, layout,
# and verification.
############################################################################
export CADHOME=/EDA/cadence/

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Set up either CDS_LIC_FILE or LM_LICENSE_FILE, but not both or it'll 
# take long time to search license.
#
# export CDS_LIC_FILE=port@hostname 
# This varialbe should be consistent with the 1st line in the license.dat
# Run "hostname" or "uname -n" on terminal to get your hostname
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDS_LIC_FILE=/EDA/cadence/IC616/share/license/license.dat

# Requied for Cadence on Linux
export LANG=C

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence - IC
#
# IC Schematic Entry, Simulation, Layout, Verification (Diva)
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDS=$CADHOME/IC616

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Some of these variables are used by various other tools.
# Set them to be safe
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export CDSDIR=$CDS
export CDSHOME=$CDS
export CADENCE_DIR=$CDS
export CDS_INST_DIR=$CDS

# Set Spectre defauts and netlisting mode
#export SPECTRE_DEFAULTS=-E
export CDS_Netlisting_Mode=Analog

# This environment variable enables Spectre HB
export CDS_SPECTRERF_FBENABLE=1

# Turn on the Palette feature.  LSW no longer works, but many new features available
#export CDS_USE_PALETTE

# Support for 64-bit executables (this should be set for 64-bit hosts)
export CDS_AUTO_64BIT=ALL

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Master IC PATH
#
# Set it before system PATH
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export PATH=$CDSDIR/bin:$CDSDIR/tools/bin:$CDSDIR/tools/dfII/bin:$CDSDIR/tools/plot/bin:${PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Assura (ASSURA) - DRC. LVS, parasitic extraction
#
# These executables should be on the PATH after DFII executables
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
###export ASSURAHOME=$CADHOME/ASSURA41
###export PATH=${PATH}:$ASSURAHOME/bin:$ASSURAHOME/tools/bin:$ASSURAHOME/tools/assura/bin

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence QRC Extraction (EXT) - parasitic extraction for RLCK
#
# Note: QRC must appear in the PATH before Assura executables
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
###export QRC_HOME=$CADHOME/EXT132
###export PATH=$QRC_HOME/bin:$QRC_HOME/tools/bin:${PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Analog Simulators (MMSIM) - Spectre, SpectreRF
#
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export MMSIM_ROOT=$CADHOME/MMSIM121
export PATH=$MMSIM_ROOT/bin:$MMSIM_ROOT/tools/bin:${PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Digital Flow including Encounter, Conformal and Incisive
#
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Encounter Digital Implementation (EDI) - Synthesis and P&R
###export PATH=$CADHOME/EDI132/bin:$CADHOME/EDI132/tools/bin:${PATH}

# Conformal (CONFRML) - Verification (LVR) for digital flow
###export PATH=$CADHOME/CONFRML131/bin:$CADHOME/CONFRML131/tools/bin:${PATH}

# Conformal Constraint Designer (CCD)
###export PATH=$CADHOME/CCD132/bin:$CADHOME/CCD132/tools/bin:${PATH}

# Encounter Timing system (ETS)
###export PATH=$CADHOME/ETS131/bin:$CADHOME/ETS131/tools/bin:${PATH}

# Encounter Test (ET)
###export PATH=$CADHOME/ET131/bin:$CADHOME/ET131/tools/bin:${PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Cadence Incisive Unified Simulator (IUS) - Digital HDL simulators
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
###export PATH=$CADHOME/INCISIV132/bin:$CADHOME/INCISIV132/tools/bin:${PATH}

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Set up OA
#
# All Cadence tools (Assura, MMSIM, ....) should be reconfigured to use 
# master IC OA PATH if they were not done so during install (use the
# iscape install utility in $CADHOME to reconfigure).
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export OA_HOME=$CDSDIR/oa_v22.43.018

# Set up for Cadence unsupported systems such as Ubuntu Linux
#export OA_UNSUPPORTED_PLAT="linux_rhel50_gcc44x"

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# LD_LIBRARY_PATH variable should not be used whenever possible.
# Rules for handling LD_LIBRARY_PATH:
# Never ever set LD_LIBRARY_PATH globally.
# If you are forced to set LD_LIBRARY_PATH, do so only as part of a wrapper.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#export LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$CDSDIR/tools/lib/64bit"

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Specify a search order for .cdsenv
# Load .cdsenv in working directory ($CWD) if it exists, otherwise 
# .cdsenv in $HOME directory if it exists.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#export CDS_LOAD_ENV=CWDElseHome


#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Mentor Graphics Calibre
#
# MGC_HOME environment variable has been deprecated in favor
# of CALIBRE_HOME. 
#
# Use absolute PATH to license.dat instead of port@hostname
#
# .cdsinit in the working directory should be modified for Calibre to
# be integrated into Virtuoso menu bar.
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export MGC_HOME=/EDA/mentor
export CALIBRE_HOME=$MGC_HOME/Calibre2015/aoi_cal_2015.2_36.27
export MGLS_LICENSE_FILE=$MGC_HOME/license/license.dat
export PATH=$PATH:$CALIBRE_HOME/bin
export MGC_LIB_PATH=$CALIBRE_HOME/lib


#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# This variable enables Calibre Interactive to save all GUI settings including default
# values to a runset file for future re-run
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
export MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Set up socket connection with Virtuoso schematic or layout viewer (using default ports
# 9199 for schematic and 9189 for layout) in the form of host:port
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#export MGC_CALIBRE_SCHEMATIC_SERVER=ThinkPad-T510:9199
#export MGC_CALIBRE_LAYOUT_SERVER=ThinkPad-T510:9189

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# Set up foundry DFM PATH for Calibre - CRNxxG/CRNxxLP process for current project
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
#export TSMC_CAL_DFM_PATH=/opt/PDKs/tsmc/CRNxxG/Calibre/lvs/DFM
#export TSMC_CAL_DFM_PATH=/opt/PDKs/tsmc/CRNxxLP/Calibre/lvs/DFM

#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
# The license server is no longer needed once all features are set to uncounted or 0
# (unlimited use) for the FEATURE lines in license.dat. file.  The SERVER, VENDOR and
# USE_SERVER lines can be safely removed from license.dat file, i.e. license.dat here
# contains FEATURE lines only.  DO NOT START UP LICENSE SERVER DAEMON!!!
#+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++

#***********************************************************************
# Set the name of the executable for displaying PDF documentation from Calibre Help
# menu. The default is acroread if it exists.  Make sure evince has been installed before
# setting up the following (on Ubuntu type command "sudo apt-get install evince").
#***********************************************************************
export MGC_PDF_READER=evince

virtuoso &
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值