数字电路设计之verilog的门级描述

        使用verilog的数字电路设计,一般会有晶体管级的描述、门级描述、RTL、行为描述。我们接触得比较多的就是后面两种,前两种更少涉及。现在就说一下门级描述吧!门级描述就是使用各种逻辑门对组合逻辑进行描述。

        举个栗子:与或非门

                            这里的and,or等都是verilog的原语,原语有点像c语言的函数。

module and_or_not(a0,a1,s,y);
     input s,a0,a1;
     output y;
	 wire sn;
	 wire a0_sn;
	 wire a1_s;
	 wire a0_snl;
     not i0 (sn,s);
	 and i1 (a0_sn,a0,sn);
	 and i2 (a1_s,a1,s);
	 or  i3 (y,a0_sn,a1_s);
endmodule


            综合出来就是:

   


  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值