HDLBits—Countbcd

依然是错题记录

构建一个4位数的BCD(二进制编码的十进制)计数器。每个十进制数字使用4位进行编码:q[3:0]是个位,q[7:4]是十位,以此类推。对ena[3:1],要输出一个使能信号,指示个十、百、位什么时候进位,即十、百、千位什么时候加一

module top_module (
    input clk,
    input reset,   // Synchronous active-high reset
    output [3:1] ena,
    output [15:0] q);
    assign ena[1] = q[3:0]==9?(1):(0);
    assign ena[2] = q[7:4]==9&q[3:0]==9?(1):(0);
    assign ena[3] = q[11:8]==9&q[7:4]==9&q[3:0]==9?(1):(0);
    BCD BCD1(.clk(clk),.in(1'b1),.reset(reset),.counter(q[3:0]));
    BCD BCD2(.clk(clk),.in(ena[1]),.reset(reset),.counter(q[7:4]));
    BCD BCD3(.clk(clk),.in(ena[2]),.reset(reset),.counter(q[11:8]));
    BCD BCD4(.clk(clk),.in(ena[3]),.reset(reset),.counter(q[15:12]));
endmodule

module BCD(
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值