HDLBits 答案之 Countbcd

答案如下:

module top_module (
    input clk,
    input reset,   // Synchronous active-high reset
    output [3:1] ena,
    output [15:0] q);
    wire   a=1'b1;
    assign ena[1]=(q[3:0]==4'd9);
    assign ena[2]=ena[1]&(q[7:4]==4'd9);
    assign ena[3]=ena[2]&(q[11:8]==4'd9);
 
    BCD instance1(clk,reset,a,q[3:0]);
    BCD instance2(clk,reset,ena[1],q[7:4]);
    BCD instance3(clk,reset,ena[2],q[11:8]);
    BCD instance4(clk,reset,ena[3],q[15:12]);
endmodule

module BCD(   
    input clk,
    input reset,   // Synchronous active-high reset
    input ena,
    output [3:0] q);
    
    always @(posedge clk) begin
        if (reset )
            q<=0;
    else if (ena==1) begin
        if(q==4'd9)
             q<=0;
        else q<=q+1;
        end
    else
         q<=q;
    end
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值