Xilinx FPGA中vivado软件的资源利用率中Slice、Slice LUT、Slice Registers、LUT as Logic以及LUT as Memory之间关系

本文主要对vivado软件的资源利用报告中各个资源(Slice、Slice LUT、Slice Registers、LUT as Logic以及LUT as Memory等等)之间的关系进行讲解。

需要了解的基础知识

7 Series FPGA 主要资源为: CLB、DSP、Block RAM、CMTs、GT以及XADC等等。
其中CLB为可配置逻辑块(Configurable Logic Blocks),如下图所示,一个CLB由2个Slice组成,Slice分为SLICEM和SLICEL,一个CLB里最多有一个SLICEM,即一个CLB可由两个SLICEL或一个SLICEL加一个SLICEM组成。
CLB组成
SLICEL和SLICEM见下图所示
SLICEL
SLICEM
SLICEL可用于逻辑,算术运算, SLICEM除了用于逻辑,算术运算外,还可配置成分布式RAM或32位的移位寄存器。 其主要是因为SLICEM 中含有能够把 LUT 资源重新整合为 Distributed RAM 或 ROM 的逻辑。而 SLICEL 则不具备此功能。
从上图中可以看出,一个SLICEL或SLICEM均由四个6输入LUT和八个FF组成,其中SLICEL和SLICEM中的LUT结构不同,SLICEM中的LUT多了做存储器和移位的功能。
综上所示,一个CLB里由两个SLICE,一个SLICE里有四个LUT和八个FF,其中SLICEM可以配置成Distributed RAM,
即1个CLB = 2个SLICE = 8个6输入LUT = 16个Flip-Flops = 256bits Distributed RAM(4个6输入LUT=4*2^6=256bit) = 128bits Shift Registers(一个LUT可以配置成一个32bit Shift Registers,4个LUT最大可配置成128bits Shift Registers)
CLB组成

vivado软件的资源利用报告中各个资源分析

以xc7k410tffg900-2为例,其vivado中资源报告如下所示。
vivado资源利用报告
下图为ds180手册中7k410t资源截图
K7资源
下图为ug474手册中7k410t CLB资源截图
K7 CLB资源
资源分析:
xc7k410t FPGA总有63550个Slices;一个Slice有8个FF,即Slice Registers = 8×63550=508400;一个Slice中有4个6输入LUT,即Slice LUTs=4×63550 = 254200;所有LUT都可以逻辑运算,即LUT as Logic = Slice LUTs = 254200;从ug474手册中查出,SLICEM共22650个,即LUT as Memory = 4×22650 = 90600;Block RAM = 795个36kb。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值