整数倍数据位宽转换8to16

整数倍数据位宽转换8to16

题目描述
实现数据位宽转换电路,实现8bit数据输入转换为16bit数据输出。其中,先到的8bit数据应置于输出16bit的高8位。

电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。
在这里插入图片描述
方法一

`timescale 1ns/1ns

module width_8to16(
	input 				   clk 		,   
	input 				   rst_n		,
	input				      valid_in	,
	input	   [7:0]		   data_in	,
 
 	output	reg			valid_out,
	output   reg [15:0]	data_out
);
    
    reg [1:0] cnt;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                cnt <= 2'b0;
            else if(valid_in == 1'b1 && cnt == 2'd1)
                cnt <= 2'b0;
            else if(valid_in == 1'b1)
                cnt <= cnt + 1'b1;
        end
    
    reg [7:0] data_in_reg;
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                data_in_reg <= 8'b0;
            else if(valid_in == 1'b1)
                data_in_reg <= data_in;
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                data_out <= 16'b0;
            else if(valid_in == 1'b1 && cnt == 2'd1)
                data_out <= {data_in_reg,data_in};
        end
    
    always@(posedge clk or negedge rst_n)
        begin
            if(!rst_n)
                valid_out <= 1'b0;
            else if(valid_in == 1'b1 && cnt == 2'd1 )
                valid_out <= 1'b1;
            else
                valid_out <= 1'b0;
        end
endmodule

方法二:

`timescale 1ns/1ns
 
module width_8to16(
    input                  clk      ,  
    input                  rst_n        ,
    input                     valid_in  ,
    input      [7:0]           data_in  ,
  
    output  reg         valid_out,
    output   reg [15:0] data_out
);
    reg [7:0] data;
    parameter WAIT1 =  1'b0;
    parameter WAIT2  = 1'b1;
 
    reg  cur_state;
    reg  next_state;
     
    always@(posedge clk or negedge rst_n)
        if(!rst_n)
            cur_state <= WAIT1;
        else
            cur_state <= next_state;
     
    always@(*)
    case (cur_state)
        WAIT1: begin
            if(data_in) begin
                next_state = WAIT2;
            end else begin
                next_state = WAIT1;
            end
        end
        WAIT2: begin
            if(data_in)
                next_state = WAIT1;
             else
                next_state = WAIT2;
        end
    endcase
    always@(posedge clk or negedge rst_n)
        if(!rst_n) begin
            data_out <= 16'd0;
            valid_out <= 1'b0;
            data <= 8'd0;
        end else
        case (cur_state)
            WAIT1: begin
                if(valid_in)
                    data <= data_in;
                valid_out <= 1'b0;
            end
            WAIT2: begin
                if(valid_in) begin
                    data_out <= {data, data_in};
                    valid_out <= 1'b1;
                end else
                    valid_out <= 1'b0;
            end
        endcase
 
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值