Verilog刷题笔记45

题目:Given the finite state machine circuit as shown, assume that the D flip-flops are initially reset to zero before the machine begins.
Build this circuit.
在这里插入图片描述
解题:

module top_module (
    input clk,
    input x,
    output z
); 
    wire [2:0]size;
    dtouch state0(
        .Clk(clk),
        .d(x^size[0]),
        .q(size[0])
    );
    dtouch state1(
        .Clk(clk),
        .d(x&(~size[1])),
        .q(size[1])
    );
    dtouch state2(
    	    .Clk(clk),
        	.d(x|(~size[2])),
            .q(size[2])
    );
    assign z=~(size[0]|size[1]|size[2]);
        

endmodule
       
        module dtouch(
        	input Clk,
            input d,
            output q
        
        );
            always@(posedge Clk)begin
                q<=d;
            end
        endmodule

结果正确:
在这里插入图片描述
注意点:该题有三个门电路,三个触发器,考虑用例化的办法实现。

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值