HDLBits Exams/ece241 2014 q5b

1、这个题罕见的一次成功,主要有了状态机切换图,但是单热码在这里的应用还真没看到。

2、下一步要根据他不给状态机的图然后自己想出状态切换。mealy状态机跟moore状态机的区别。

参考的知乎:FPGA菜鸟学习笔记——4、有限状态机 - 知乎 (zhihu.com)

以及: HDLBits:在线学习 Verilog (二十九 · Problem 140-144) - 知乎 (zhihu.com)

 

 完整代码如下:

module top_module (
    input clk,
    input areset,
    input x,
    output z
); 
    reg[1:0] state,next_state;
    parameter A=0,B=1;
    always@(*)begin
        case(state)
            A:next_state=x?B:A;
            B:next_state=B;
        endcase
    end

    always@(posedge clk or posedge areset)begin
        if(areset)
            state<=A;
        else
            state<=next_state;   
    end
    
    always@(*)begin
        case(state)
        	A:z=x;
            B:z=!x;
        endcase
    end
    
    
    
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值