给Vivado Block Design的TCL脚本添加IP repository (仓库) 路径信息

本文介绍了如何在Vivado的Block Design导出的TCL脚本中添加IP repository路径信息,以解决从TCL脚本恢复工程时可能出现的找不到IP错误。错误通常发生在缺少用户IP路径的情况下。解决方法是在TCL脚本的create_project之后,create_bd_design之前插入特定命令,指定IP仓库路径,该路径指向包含component.xml文件的IP目录。
摘要由CSDN通过智能技术生成

在Vivado里,可以从Block Design导出TCL脚本,保存工程。之后可以从TCL脚本恢复工程。

导出的TCL脚本中,可能不包含用户IP的路径信息,这样的话,从TCL脚本恢复工程时会报告错误。

错误信息如下:

图片

在TCL文件中添加下列命令,可以添加IP repository(仓库),使Vivado找到IP。

添加的位置,可以是创建工程(create_project)之后,创建Block Design(create_bd_design)之前。

图片

其中“$script_folder”是指当前TCL脚本所在的目录,目录“$script_folder/ipdefs/ip”下,每个IP应该有一个目录,IP目录下有文件component.xml。

从头开始的部分TCL脚本内如如下:

图片

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

BinaryStarXin

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值