四选一多路器

这篇博客详细介绍了四选一多路器的工作原理,通过状态转换和信号示意图展示如何使用输入选择信号(sel)选择不同的输入(d0, d1, d2, d3),并用Verilog代码实现了mux4_1模块。重点讲解了always@(*)语句和reg变量的应用。
摘要由CSDN通过智能技术生成

四选一多路器

状态转换
d0 11
d1 10
d2 01
d3 00
信号示意图
在这里插入图片描述

`timescale 1ns/1ns
module mux4_1(
input [1:0]d1,d2,d3,d0,
input [1:0]sel,
output[1:0]mux_out
);
//*************code***********//
    reg [1:0] mux_out_reg;
    always@(*)
    begin
        case(sel)
            2'b00:mux_out_reg = d3;
            2'b01:mux_out_reg = d2;
            2'b10:mux_out_reg = d1;
            2'b11:mux_out_reg = d0;
            default:mux_out_reg = 2'b00;
        endcase
    end

    assign mux_out = mux_out_reg;
//*************code***********//
endmodule

知识点:
always@(*)表示对所有输入信号的变化敏感;
在always语句块中等号左边是reg类型(注意:此处reg并不是代表触发器,纯属是语法要求)。

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值