8线-3线编码器的Verilog语言描述

3位二进制编码器的真值表:

直接上代码:用的是case语句

module coder8_3(a,b);
	input a;
	output b;
	wire [7:0] a;
	reg [2:0] b;
	always@(a or b)
	begin:coder8_3
		 case (a)    
			8'b10000000:b=3'b000;
			8'b01000000:b=3'b001;
			8'b00100000:b=3'b010;
			8'b00010000:b=3'b011;
			8'b00001000:b=3'b100;
			8'b00000100:b=3'b101;
			8'b00000010:b=3'b110;
			8'b00000001:b=3'b111;	
		endcase
	end
endmodule

仿真结果:

 

 

  • 5
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值