时序约束sdc之set_max_delay/set_min_delay及异步fifo格雷码的约束

1、什么是Min/Max Delays?
Min/Max Delays可以理解为端到端的延时大小。port到port。
Min Delay约束直接影响的是保持关系,因为延时最小对应的保持关系最差的情况;用于覆盖默认的hold(removal)约束。
Max Delay约束直接影响的是建立关系,因为延时最大对应的建立关系最差的情况。用于覆盖默认的setup(recovery)约束。
2、语法格式:

set_max_delay <delay_value> [-datapath_only] [-from <node_list>][-to <node_list>]
  • 8
    点赞
  • 99
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值