补码:统一加减运算

补码能够统一加减运算,对于十进制也是如此。

对于二进制补码而言:

(X+Y)X+Y(XY)X+(Y)

因为二进制中,0 表示什么都没有,1表示再放一个就会溢出,所以十进制中,用0 取代二进制中的 0,放在符号位上表示正,用 9 取代二进制中的 1,放在符号位上表示负(其实自己把问题弄复杂了,大可不必如此)。

例如,计算-46-37这个式子:-46的原码就是946,补码按位取反(99 - 46 = 53)末位加 1 (其实就是 100 - ),得到 954,-37的原码为 937,补码为 963,补码相加得 (-46)补+(-37)补=954+963=1917,符号位进位舍掉,得到结果是917,917的再按位取反末位加1得原码983,它表示的真值是-83,所以有-46-37=-83。

又比如三位数的减法,573-489 = ?

-489 的补码为:9(1000-489) = 9511

所以(573)补+(-489)补=573+9511=10084,符号位进位舍去,得到 84,正数的补码还是本身。

这样也可以做,只是稍显啰嗦,且形式上很不统一。

模仿二进制补码的形式定义十进制的补码如下:对于定点整数n+1位的十进制定点整数X=XnXn-1…X0,其补码为:

[X]补=X, 如果0 <= X <= 5 * 10n1

[X]补= 10n+1 + X = 10n+1 - | X |,如果 -5 * 10n <= X <= -1

当最高位Xn为:

  • 0,1,2,3,4时,表示正,
  • 5,6,7,8,9时,表示负。

例如三位十进制数补码能表示-500到499这1000个数。例如下列计算:

-327+164 :

(-327)补 + (164)补=673 +164 = 837

-327+164= -(1000 - 837)=-163

从二进制补码到十进制补码及其内的运算——关于补码的一点学习

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
以下是一个完整的Verilog代码示例,可以实现16位补码加减运算: ```verilog module add_sub( input [15:0] a, input [15:0] b, input sub, output [15:0] result, output overflow ); reg [15:0] b_neg; wire [16:0] sum; assign b_neg = ~b + 1; assign sum = {1'b0, a} + ({sub, b_neg} & 16'hFFFF); assign result = sum[15:0]; assign overflow = (sum[16] != sum[15]); endmodule ``` 该电路同样接受两个 16 位补码数,以及一个控制信号 `sub` 用于选择加法减法运算。其中,`b_neg` 表示 `b` 的补码的取反加一,`sum` 表示加减法的结果,`result` 表示最终的结果,`overflow` 表示是否发生了溢出。 在上述代码中,使用了 Verilog 的位运算和拼接操作来实现计算。具体的实现方式如下: - `assign b_neg = ~b + 1;`:将 `b` 按位取反并加一,得到其补码 `b_neg`。 - `assign sum = {1'b0, a} + ({sub, b_neg} & 16'hFFFF);`:将 `a` 和 `b_neg` 进行加减运算,并将结果与 16 位全 1 进行按位与操作,以确保结果为 16 位补码。同时,在 `a` 的最高位添加一个 0,以免在加法时产生进位。 - `assign result = sum[15:0];`:将 `sum` 的低 16 位作为最终结果输出。 - `assign overflow = (sum[16] != sum[15]);`:如果 `sum` 的最高位(即符号位)与次高位不同,则表示发生了溢出。 需要注意的是,上述代码中的加减法均采用了补码方式,因此不需要进行符号判断。同时,在实际应用中,还需要考虑对控制信号 `sub` 的处理(例如,将其与其它控制信号一起传入模块中)。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

五道口纳什

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值