Verilog generate块

本文介绍了Verilog中的generate块,特别是在FPGA设计中的应用场景。generate语句用于批量实例化module,复制reg、assign、always、task等,分为generate for和generate if/case。通过genvar定义正整数变量,结合begin-end语句实现类似C语言中的for循环功能。文中举例说明generate for的使用,并提出了对generate for与普通for循环区别的疑问。
摘要由CSDN通过智能技术生成

应用场景:很多情况下需要编写很多结构相同但是参数不同的组合语句或者时序语句,如果在参数量很大的的情况下,原本的列举就会显得心有余而力不足。c语言中常用for语句来解决此类问题,verilog则为我们提供了generate语句。
generate语句的最主要功能就是对module批量例化,对reg、assign、always、task等语句进行复制

分类:generate块可以分为generate for和generate if或者generate case。

generate for

格式:
(1)必须使用genvar定义一个正整数变量,用作for循环的判断。
(2)需要复制的语句必须写到begin_end语句里面。就算只有一句
(3)for需要有一个类似于模块名的名字,放在begin后面。
例:

module    generate_for(
    input    [7:0]    data_in,
    output    [1:0]   t0,
    output    [1:0]   t1,
    output    [1:0]   t2,
    output    [1
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值