异步复位的串联T触发器

异步复位的串联T触发器

T触发器的特征方程
Qn+1 = T Qn ’ +T ’ Qn
= T⊕Qn
T触发器的特征表
在这里插入图片描述
信号示意图
在这里插入图片描述

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
    reg m;
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                m <= 0;
            else if(data)
                 m <= ~m;
            else
                m <= m;                
        end
         
    always@(posedge clk or negedge rst)
        begin
            if(!rst)
                q <= 0;
            else if(m)
                q <= ~q;
            else
                q <= q;
        end

//*************code***********//
endmodule

知识点
异步复位:就是复位信号可以直接不受时钟信号影响,在任意时刻只要是低电平就能复位,即复位信号不需要和时钟同步。(复位的触发条件不仅与clk的上升沿有关,还与rst_n的下降沿有关,当clk上升沿采到rst_n为低时可复位,同时当遇到rst_n下降沿时也进行复位。)

always@(posedge clk or negedge rst)
begin
	if(!rst)
	...
end

同步复位:复位的有效条件与clk的上升沿有关,当clk的上升沿采到rst_n为低的时候可复位。
同步释放:让复位信号取消的时候,必须跟时钟信号同步,即刚好跟时钟同沿。

always@(posedge clk )
begin
	if(!rst)
	...
end
  • 3
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

傻童:CPU

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值