手把手教你在Vivado创建一个RAM的IP核并使用ILA工具验证


一、RAM实验背景知识

RAM的定义

RAM的英文全称是Random Access Memory,即随机存取存储器,它可以随时把数据写入任一指定地址的存储单元,也可以随时从任一指定地址中读出数据,其读写速度是由时钟频率决定的。RAM主要用来存放程序及程序执行过程中产生的中间数据、运算结果等。

RAM的分类

单端口:只有一个端口,读写数据不能同时进行,共用数据通道。

伪双端口:拥有两个数据通道,一个用来写一个用来读。

真双端口:拥有两个数据通道,一个用来写一个用来读。

本次实验使用A7系列,是真双端口

实验设计

  1. 当我们想驱动一个RAM的IP核时,我们需要提供六个信号:clk(时钟)、rst(复位信号)、wea(读写切换)、data(数据线)、addr(地址线)、en(ram的使能)
  2. 在本次代码中,我们使用RAM这个IP核时,需要一个读写模块,里面有一个读写计数器(最大值64,0-31读数据,32-63写数据,在31时改变读写切换的值),数据计数器(0-31作为写入数据),地址计数器(0-31)用这三个计数器来对应上面六个输入。

二、创建一个新的工程

不会新建工程的可以看一下我之前的博客,这里只展示一些简略的新建工程过程。将工程命名为ip_ram。
在这里插入图片描述
新建工程完成
在这里插入图片描述

三、创建RAM IP核

在这里插入图片描述

双击点开上图3的位置
在这里插入图片描述
在这里插入图片描述
下面这个页面是Vivado自动生成的,不用改
在这里插入图片描述
最后一页可以检查一下自己的设置
在这里插入图片描述
看到下面的图片,说明IP核已经创建完成了
在这里插入图片描述

四、编写代码

创建ip_ram.v(设计文件)、ram_rw.v(读写模块,为什么创建这个可以看上面的实验设计)、ram_xdc.xdc(时序约束文件)

从.veo文件中可以把IP核的实例粘贴出来
在这里插入图片描述
ip_ram.v代码如下所示(这里我放的是添加完ILA的完整代码)

`timescale 1ns / 1ps

module ip_ram(
    input sys_clk,
    input sys_rst_n
    
    );
    
    wire         ram_en        ;
    wire         ram_wea       ;
    wire [4 : 0] ram_addr      ;
    wire [7 : 0] ram_wr_data   ;
    wire [7 : 0] ram_rd_data   ;
    

ram_rw ram_rw_u(
    .clk         (sys_clk)  ,        
    .rst_n       (sys_rst_n)  ,      
    .ram_en      (ram_en)  ,     
    .ram_wea     (ram_wea)  ,    
    .ram_addr    (ram_addr)  ,   
    .ram_wr_data (ram_wr_data)  ,
    .ram_rd_data (ram_rd_data) 

);

blk_mem_gen_0 blk_mem_gen_0 (
  .clka(sys_clk),            // input wire clka
  .rsta(sys_rst_n),            // input wire rsta
  .ena(ram_en),              // input wire ena
  .wea(ram_wea),              // input wire [0 : 0] wea
  .addra(ram_addr),          // input wire [4 : 0] addra
  .dina(ram_wr_data),            // input wire [7 : 0] dina
  .douta(ram_rd_data)          // output wire [7 : 0] douta
 // .rsta_busy()  // output wire rsta_busy
);

endmodule

ram_rw代码如下所示(这里我放的是添加完ILA的完整代码)

`timescale 1ns / 1ps

module ram_rw(
    input               clk,
    input               rst_n,
    output              ram_en,
    output              ram_wea,
    output reg [4 : 0]  ram_addr,
    output reg [7 : 0]  ram_wr_data,
    input [7 : 0]       ram_rd_data
    
    );
    
    reg [5 : 0] rw_cnt;
    
    assign ram_wea = (rw_cnt <= 6'd31 && ram_en) ? 1'b1:1'b0;
    assign ram_en = rst_n;
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n) rw_cnt <= 1'b0;
        else if(rw_cnt == 6'd63) rw_cnt <= 6'b0;
        else rw_cnt <= rw_cnt + 1'b1;
    end
    
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n) ram_wr_data <= 1'b0;
        else if(rw_cnt <= 6'd31) ram_wr_data <= ram_wr_data +1'b1;
        else  ram_wr_data <= 1'b0; 
    end
    
    
    always@(posedge clk or negedge rst_n) begin
        if(!rst_n) ram_addr <= 1'b0;
        else if(ram_addr <= 5'd31) ram_addr <= ram_addr +1'b1;
        else  ram_addr <= 1'b0; 
    end

ila_0 your_instance_name (
	.clk(clk), // input wire clk
	.probe0(ram_en), // input wire [0:0]  probe0  
	.probe1(ram_wea), // input wire [0:0]  probe1 
	.probe2(ram_addr), // input wire [4:0]  probe2 
	.probe3(ram_wr_data), // input wire [7:0]  probe3 
	.probe4(ram_rd_data) // input wire [7:0]  probe4
);

endmodule


ram_xdc.xdc代码如下所示(这里我放的是添加完ILA的完整代码)

create_clock -period 20.000 -name sys_clk [get_ports sys_clk]
set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS15} [get_ports sys_clk]
set_property -dict {PACKAGE_PIN U7 IOSTANDARD LVCMOS15} [get_ports sys_rst_n]

五、创建ILA IP核并生成比特流文件

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
这里实际上需要复制.veo的实例去更改代码,完整代码我贴到上一章了
点击生成bit流文件
在这里插入图片描述
出现下面这个界面代表bit流生成成功,点击取消就可以
在这里插入图片描述

六、将程序下入芯片,并通过ILA观察波形

在这里插入图片描述
下载后ILA自动打开,可以看到,读写时序以及读写数据都正确,实验成功
在这里插入图片描述

  • 8
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado 伪双口 RAM IP 核是通过使用 Vivado 软件中的 RAM IP 核来实现的。伪双口 RAM 具有两个端口,但只能在一个时钟上进行读写操作。其中一个端口可以同时进行读写操作,而另一个端口只能进行读出操作。这种类型的 RAM 可以用于并行处理或者需要同时读写的应用场景。 在 Vivado 中,通过使用 RAM IP 核并选择相应的配置参数,可以生成伪双口 RAM IP 核。根据你提供的引用中的信息,VivadoRAM IP 核可以生成多种不同类型的内存空间,包括单口 RAM、简化双口 RAM 和真双口 RAM。其中,伪双口 RAM 是其中之一。 如果你需要使用 Vivado 中的伪双口 RAM IP 核,你可以按照以下步骤进行操作: 1. 打开 Vivado 软件并创建一个新的项目。 2. 在设计页面中,点击工具栏上的 "IP Integrator" 图标,进入 IP Integrator 界面。 3. 在 IP Integrator 中,点击 "Add IP" 按钮,并选择 "RAM" 类别下的 "RAM" IP 核。 4. 在 IP 配置页面中,选择 "伪双口 RAM" 作为 RAM 的类型。 5. 根据你的需求,进行其他参数的配置,如数据位宽、地址位宽等。 6. 完成配置后,点击 "OK" 按钮,将伪双口 RAM IP 核添加到设计中。 7. 连接适当的时钟和控制信号,并进行其他必要的连接。 8. 生成 Bitstream 并下载到目标设备中进行仿真或部署。 需要注意的是,根据你提供的引用中的信息,在仿真中可能只使用了一个端口进行读写操作。因此,在使用 Vivado 的伪双口 RAM IP 核时,你可能需要根据具体的应用需求和设计要求确定是否需要使用两个端口进行读写操作。 : 使用 Vivado 软件中的 RAM 的 IP 核实现真双口 RAM 的仿真 : Vivado 的双口 RAM 的 IP 核是通过 Block Memory Generator 产生的,其中包含单口 RAM、简化双口 RAM 和真双口 RAM : Xilinx 官方例程中使用寄存器构建了一个真双口 RAM 的模块,并提供了相应的代码示例。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

普通的晓学生

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值